文件名称:first_zynq_design

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Linux] [SHELL] [源码]
  • 上传时间:
  • 2015-12-14
  • 文件大小:
  • 23mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • 无*
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

zedboard开发板的一个程序 搭了一个简单的硬件平台 然后软件是实现led灯的控制-zedboard vhdl code to control led on the board
(系统自动生成,下载前可以参看下载内容)

下载文件列表





first_zynq_design.cache\wt\java_command_handlers.wdf

.......................\..\synthesis.wdf

.......................\..\webtalk_pa.xml

..................hw\hw_1\xc7z020_1\dashboard\XADC.xml

..................runs\.jobs\vrs_config_1.xml

......................\impl_1\.init_design.begin.rst

......................\......\.init_design.end.rst

......................\......\.opt_design.begin.rst

......................\......\.opt_design.end.rst

......................\......\.place_design.begin.rst

......................\......\.place_design.end.rst

......................\......\.route_design.begin.rst

......................\......\.route_design.end.rst

......................\......\.Vivado Implementation.queue.rst

......................\......\.vivado.begin.rst

......................\......\.vivado.end.rst

......................\......\.write_bitstream.begin.rst

......................\......\.write_bitstream.end.rst

......................\......\gen_run.xml

......................\......\htr.txt

......................\......\init_design.pb

......................\......\ISEWrap.js

......................\......\ISEWrap.sh

......................\......\opt_design.pb

......................\......\place_design.pb

......................\......\project.wdf

......................\......\route_design.pb

......................\......\rundef.js

......................\......\runme.bat

......................\......\runme.log

......................\......\runme.sh

......................\......\usage_statistics_webtalk.html

......................\......\usage_statistics_webtalk.xml

......................\......\vivado.jou

......................\......\vivado.pb

......................\......\write_bitstream.pb

......................\......\zynq_system_1_wrapper.bit

......................\......\zynq_system_1_wrapper.sysdef

......................\......\zynq_system_1_wrapper.tcl

......................\......\zynq_system_1_wrapper.vdi

......................\......\zynq_system_1_wrapper_clock_utilization_placed.rpt

......................\......\zynq_system_1_wrapper_control_sets_placed.rpt

......................\......\zynq_system_1_wrapper_drc_routed.pb

......................\......\zynq_system_1_wrapper_drc_routed.rpt

......................\......\zynq_system_1_wrapper_io_placed.rpt

......................\......\zynq_system_1_wrapper_opt.dcp

......................\......\zynq_system_1_wrapper_placed.dcp

......................\......\zynq_system_1_wrapper_power_routed.rpt

......................\......\zynq_system_1_wrapper_power_summary_routed.pb

......................\......\zynq_system_1_wrapper_routed.dcp

......................\......\zynq_system_1_wrapper_route_status.pb

......................\......\zynq_system_1_wrapper_route_status.rpt

......................\......\zynq_system_1_wrapper_timing_summary_routed.pb

......................\......\zynq_system_1_wrapper_timing_summary_routed.rpt

......................\......\zynq_system_1_wrapper_utilization_placed.pb

......................\......\zynq_system_1_wrapper_utilization_placed.rpt

......................\synth_1\.Vivado Synthesis.queue.rst

......................\.......\.vivado.begin.rst

......................\.......\.vivado.end.rst

......................\.......\.Xil\zynq_system_1_wrapper_propImpl.xdc

......................\.......\dont_touch.xdc

......................\.......\gen_run.xml

......................\.......\htr.txt

......................\.......\ISEWrap.js

......................\.......\ISEWrap.sh

......................\.......\rundef.js

......................\.......\runme.bat

......................\.......\runme.log

......................\.......\runme.sh

......................\.......\vivado.jou

......................\.......\vivado.pb

......................\.......\zynq_system_1_wrapper.dcp

......................\.......\zynq_system_1_wrapper.hwdef

......................\.......\zynq_system_1_wrapper.tcl

......................\.......\zynq_system_1_wrapper.vds

......................\.......\zynq_system_1_wrapper_utilization_synth.pb

......................\.......\z

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org