文件名称:day2_memorymodule

  • 所属分类:
  • 其他数据库
  • 资源属性:
  • [C/C++] [源码]
  • 上传时间:
  • 2014-05-29
  • 文件大小:
  • 441kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • gau***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

this a program for designing memory module in fpga.-this is a program for designing memory module in fpga.
(系统自动生成,下载前可以参看下载内容)

下载文件列表





day2_memorymodule\day2_memorymodule.gise

.................\day2_memorymodule.xise

.................\fuse.log

.................\fuse.xmsgs

.................\fuseRelaunch.cmd

.................\hjd.wcfg

.................\iseconfig\day2_memorymodule.projectmgr

.................\.........\memorymodule.xreport

.................\..im\isim_usage_statistics.html

.................\....\memorymodule_tb_isim_beh.exe.sim\isimcrash.log

.................\....\................................\ISimEngine-DesignHierarchy.dbg

.................\....\................................\isimkernel.log

.................\....\................................\libPortability.dll

.................\....\................................\memorymodule_tb_isim_beh.exe

.................\....\................................\netId.dat

.................\....\................................\tmp_save\_1

.................\....\................................\work\memorymodule_tb_isim_beh.exe_main.c

.................\....\................................\....\memorymodule_tb_isim_beh.exe_main.nt64.obj

.................\....\................................\....\m_00000000002135552304_0534011702.c

.................\....\................................\....\m_00000000002135552304_0534011702.didat

.................\....\................................\....\m_00000000002135552304_0534011702.nt64.obj

.................\....\................................\....\m_00000000003414361805_0034173209.c

.................\....\................................\....\m_00000000003414361805_0034173209.didat

.................\....\................................\....\m_00000000003414361805_0034173209.nt64.obj

.................\....\................................\....\m_00000000004093713498_2073120511.c

.................\....\................................\....\m_00000000004093713498_2073120511.didat

.................\....\................................\....\m_00000000004093713498_2073120511.nt64.obj

.................\....\pn_info

.................\....\temp\glbl.sdb

.................\....\....\memorymodule.sdb

.................\....\....\memorymodule_tb.sdb

.................\....\work\glbl.sdb

.................\....\....\memorymodule.sdb

.................\....\....\memorymodule_tb.sdb

.................\isim.cmd

.................\isim.log

.................\memorymodule.bld

.................\memorymodule.cmd_log

.................\memorymodule.lso

.................\memorymodule.ncd

.................\memorymodule.ngc

.................\memorymodule.ngd

.................\memorymodule.ngr

.................\memorymodule.pad

.................\memorymodule.par

.................\memorymodule.pcf

.................\memorymodule.prj

.................\memorymodule.ptwx

.................\memorymodule.stx

.................\memorymodule.syr

.................\memorymodule.twr

.................\memorymodule.twx

.................\memorymodule.unroutes

.................\memorymodule.v

.................\memorymodule.xpi

.................\memorymodule.xst

.................\memorymodule_envsettings.html

.................\memorymodule_guide.ncd

.................\memorymodule_map.map

.................\memorymodule_map.mrp

.................\memorymodule_map.ncd

.................\memorymodule_map.ngm

.................\memorymodule_map.xrpt

.................\memorymodule_ngdbuild.xrpt

.................\memorymodule_pad.csv

.................\memorymodule_pad.txt

.................\memorymodule_par.xrpt

.................\memorymodule_summary.html

.................\memorymodule_summary.xml

.................\memorymodule_tb.v

.................\memorymodule_tb_beh.prj

.................\memorymodule_tb_isim_beh.exe

.................\memorymodule_tb_isim_beh.wdb

.................\memorymodule_tb_stx_beh.prj

.................\memorymodule_usage.xml

.................\memorymodule_xst.xrpt

.................\webtalk_pn.xml

.................\xilinxsim.ini

.................\.lnx_auto_0_xdb\cst.xbcd

.................\.st\work\hdllib.ref

.................\...\....\vlg03\memorymodule.bin

.................\_ngo\n

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org