文件名称:hdmi_20130227

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Linux] [SHELL] [源码]
  • 上传时间:
  • 2014-03-01
  • 文件大小:
  • 23.46mb
  • 下载次数:
  • 1次
  • 提 供 者:
  • 郝**
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

(1)包含驱动HDMI编码芯片Sil9134的时序逻辑和寄存器初始化代码,输出测试图像格式为1080P@30Hz;(2)使用Vivado2013.3开发,硬件平台为威视锐Zing开发板,搭载Xilinx Zynq7020芯片。-(1) contains drivers HDMI encoder chip Sil9134 timing logic and register initialization code, output test image format 1080P @ 30Hz (2) use Vivado2013.3 development, hardware platform for Granville Sharp Zing development board, equipped with Xilinx Zynq7020 chips.
(系统自动生成,下载前可以参看下载内容)

下载文件列表





hdmi_20130227\hdmi.data\constrs_1\designprops.xml

.............\.........\.........\fileset.xml

.............\.........\.........\usercols.xml

.............\.........\hw\hwsession_1.xml

.............\.........\runs\impl_1\constrs_in.xml

.............\.........\....\......\impl_1.psg

.............\.........\....\impl_1.psg

.............\.........\....\runs.xml

.............\.........\....\synth_1\constrs_in.xml

.............\.........\....\.......\sources.xml

.............\.........\....\.......\synth_1.psg

.............\.........\....\synth_1.psg

.............\.........\sim_1\fileset.xml

.............\.........\.ources_1\fileset.xml

.............\.........\.........\ports.xml

.............\.........\wt\java_command_handlers.wdf

.............\.........\..\project.wpc

.............\.........\..\synthesis.wdf

.............\.........\..\webtalk_pa.xml

.............\.....hw\hw_1\wave\hw_ila_data_1\hw_ila_data_1.wcfg

.............\.......\....\....\.............\hw_ila_data_1.wdb

.............\.......\....\XC7Z020_1\dashboard\hw_ila_1.xml

.............\.....runs\.jobs\vrs_config_1.xml

.............\.........\.....\vrs_config_10.xml

.............\.........\.....\vrs_config_11.xml

.............\.........\.....\vrs_config_12.xml

.............\.........\.....\vrs_config_13.xml

.............\.........\.....\vrs_config_14.xml

.............\.........\.....\vrs_config_15.xml

.............\.........\.....\vrs_config_16.xml

.............\.........\.....\vrs_config_17.xml

.............\.........\.....\vrs_config_18.xml

.............\.........\.....\vrs_config_19.xml

.............\.........\.....\vrs_config_2.xml

.............\.........\.....\vrs_config_20.xml

.............\.........\.....\vrs_config_21.xml

.............\.........\.....\vrs_config_22.xml

.............\.........\.....\vrs_config_3.xml

.............\.........\.....\vrs_config_4.xml

.............\.........\.....\vrs_config_5.xml

.............\.........\.....\vrs_config_6.xml

.............\.........\.....\vrs_config_7.xml

.............\.........\.....\vrs_config_8.xml

.............\.........\.....\vrs_config_9.xml

.............\.........\impl_1\.init_design.begin.rst

.............\.........\......\.init_design.end.rst

.............\.........\......\.opt_design.begin.rst

.............\.........\......\.opt_design.end.rst

.............\.........\......\.place_design.begin.rst

.............\.........\......\.place_design.end.rst

.............\.........\......\.route_design.begin.rst

.............\.........\......\.route_design.end.rst

.............\.........\......\.Vivado Implementation.queue.rst

.............\.........\......\.vivado.begin.rst

.............\.........\......\.vivado.end.rst

.............\.........\......\.write_bitstream.begin.rst

.............\.........\......\.write_bitstream.end.rst

.............\.........\......\debug_nets.ltx

.............\.........\......\htr.txt

.............\.........\......\init_design.pb

.............\.........\......\ISEWrap.js

.............\.........\......\ISEWrap.sh

.............\.........\......\opt_design.pb

.............\.........\......\place_design.pb

.............\.........\......\project.wdf

.............\.........\......\route_design.pb

.............\.........\......\rundef.js

.............\.........\......\runme.bat

.............\.........\......\runme.log

.............\.........\......\runme.sh

.............\.........\......\system_wrapper.bit

.............\.........\......\system_wrapper.rdi

.............\.........\......\system_wrapper.tcl

.............\.........\......\system_wrapper_10384.backup.rdi

.............\.........\......\system_wrapper_15012.backup.rdi

.............\.........\......\system_wrapper_17748.backup.rdi

.............\.........\......\system_wrapper_45232.backup.rdi

.............\.........\......\system_wrapper_8748.backup.rdi

.............\.........\......\system_wrapper_clock_utilization_placed.rpt

.............\.........\......\system_wrapper_control_sets_placed.rpt

.............\.........\......\system_wrapper_drc_routed.pb

.............\.........\......\system_wrapper_drc_routed.rpt

......

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org