文件名称:xc2v_vhdl

  • 所属分类:
  • VHDL编程
  • 资源属性:
  • [Text]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 95kb
  • 下载次数:
  • 0次
  • 提 供 者:
  • A***
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

Verilog Code for MIMO system
相关搜索: mimo
in
verilog
mimo
verilog
mimo
vhdl
code

(系统自动生成,下载前可以参看下载内容)

下载文件列表

dcm\readme_dcm_vhdl.txt

...\vhdl

...\....\BUFG_CLK0_FB_SUBM.vhd

...\....\BUFG_CLK0_SUBM.vhd

...\....\BUFG_CLK2X_FB_SUBM.vhd

...\....\BUFG_CLK2X_SUBM.vhd

...\....\BUFG_CLKDV_SUBM.vhd

...\....\BUFG_DFS_FB_SUBM.vhd

...\....\BUFG_DFS_SUBM.vhd

...\....\BUFG_PHASE_CLK0_SUBM.vhd

...\....\BUFG_PHASE_CLK2X_SUBM.vhd

...\....\BUFG_PHASE_CLKDV_SUBM.vhd

...\....\BUFG_PHASE_CLKFX_FB_SUBM.vhd

...\....\DCM_INST.vhd

dcm

clock\readme_clock_vhdl.txt

.....\vhdl

.....\....\BUFGCE_1_SUBM.vhd

.....\....\BUFGCE_SUBM.vhd

.....\....\BUFGMUX_1_INST.vhd

.....\....\BUFGMUX_INST.vhd

clock

blockram\readme_blockram_vhdl.txt

........\vhdl

........\....\SelectRAM_A1.vhd

........\....\SelectRAM_A18.vhd

........\....\SelectRAM_A18_B18.vhd

........\....\SelectRAM_A18_B36.vhd

........\....\SelectRAM_A1_B1.vhd

........\....\SelectRAM_A1_B18.vhd

........\....\SelectRAM_A1_B2.vhd

........\....\SelectRAM_A1_B36.vhd

........\....\SelectRAM_A1_B4.vhd

........\....\SelectRAM_A1_B9.vhd

........\....\SelectRAM_A2.vhd

........\....\SelectRAM_A2_B18.vhd

........\....\SelectRAM_A2_B2.vhd

........\....\SelectRAM_A2_B36.vhd

........\....\SelectRAM_A2_B4.vhd

........\....\SelectRAM_A2_B9.vhd

........\....\SelectRAM_A36.vhd

........\....\SelectRAM_A36_B36.vhd

........\....\SelectRAM_A4.vhd

........\....\SelectRAM_A4_36.vhd

........\....\SelectRAM_A4_B18.vhd

........\....\SelectRAM_A4_B4.vhd

........\....\SelectRAM_A4_B9.vhd

........\....\SelectRAM_A9.vhd

........\....\SelectRAM_A9_36.vhd

........\....\SelectRAM_A9_B18.vhd

........\....\SelectRAM_A9_B9.vhd

........\....\XC2V_RAMB_1_PORT.vhd

blockram

ddr\readme_ddr_vhdl.txt

...\vhdl

...\....\DDR_3state.vhd

...\....\DDR_Input.vhd

...\....\DDR_Output.vhd

ddr

.istributed_ram\readme_distributed_ram_vhdl.txt

...............\vhdl

...............\....\SelectRAM_128S.vhd

...............\....\SelectRAM_16D.vhd

...............\....\SelectRAM_16S.vhd

...............\....\SelectRAM_32D.vhd

...............\....\SelectRAM_32S.vhd

...............\....\SelectRAM_64D.vhd

...............\....\SelectRAM_64S.vhd

...............\....\XC2V_DISTRI_RAM_64S.vhd

...............\....\XC2V_RAM128XN_S.vhd

...............\....\XC2V_RAM16XN_D.vhd

...............\....\XC2V_RAM16XN_S.vhd

...............\....\XC2V_RAM32XN_D.vhd

...............\....\XC2V_RAM32XN_S.vhd

...............\....\XC2V_RAM64XN_D.vhd

...............\....\XC2V_RAM64XN_S.vhd

distributed_ram

lvds\readme_lvds_vhdl.txt

....\vhdl

....\....\DDR_LVDS_3STATE.vhd

....\....\DDR_LVDS_IN.vhd

....\....\DDR_LVDS_OUT.vhd

lvds

multiplexers\readme_multiplexers_vhdl.txt

............\vhdl

............\....\MUX_16_1_SUBM.vhd

............\....\MUX_2_1_SUBM.vhd

............\....\MUX_32_1_SUBM.vhd

............\....\MUX_4_1_SUBM.vhd

............\....\MUX_8_1_SUBM.vhd

multiplexers

.......iers\readme_multipliers_vhdl.txt

...........\vhdl

...........\....\MAGNTD_18.vhd

...........\....\mult17x17_u.vhd

...........\....\MULT18X18.vhd

...........\....\mult4x4_s.vhd

...........\....\mult4x4_u.vhd

...........\....\mult8x8_s.vhd

...........\....\mult8x8_u.vhd

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org