文件名称:transmit1

  • 所属分类:
  • 嵌入式Linux
  • 资源属性:
  • [Windows] [程序]
  • 上传时间:
  • 2012-11-26
  • 文件大小:
  • 1.62mb
  • 下载次数:
  • 0次
  • 提 供 者:
  • wang*****
  • 相关连接:
  • 下载说明:
  • 别用迅雷下载,失败请重下,重下不扣分!

介绍说明--下载内容均来自于网络,请自行研究使用

基于红外线无线传输的信号的发送端。采用4个按钮,采用NEC代码协议。-Infrared wireless transmission of signals based on the sending end. With four buttons, the use of NEC code protocol.
(系统自动生成,下载前可以参看下载内容)

下载文件列表

transmit1\sim\rtl_sim\run\transmit_01.shm\transmit_01.trn

.........\...\.......\...\...............\transmit_01.dsn

.........\...\.......\...\INCA_libs\.ncv.lock

.........\...\.......\...\.........\hdl.var

.........\...\.......\...\.........\snap.nc\.incl.file

.........\...\.......\...\.........\.......\svlan.args

.........\...\.......\...\.........\.......\ncvlog.env

.........\...\.......\...\.........\.......\cdsrun.lib

.........\...\.......\...\.........\.......\ncelab.hrd

.........\...\.......\...\.........\.......\.ncv.lock

.........\...\.......\...\.........\.......\files.ts

.........\...\.......\...\.........\.......\hdlrun.var

.........\...\.......\...\.........\.......\hdl.var

.........\...\.......\...\.........\.......\ncelab.env

.........\...\.......\...\.........\.......\ncsim.args

.........\...\.......\...\.........\.......\ixcom.args

.........\...\.......\...\.........\.......\ixcom.env

.........\...\.......\...\.........\.......\vhan.args

.........\...\.......\...\.........\.......\ncsim_restart.args

.........\...\.......\...\.........\.......\ncsim.env

.........\...\.......\...\.........\.......\cds.lib

.........\...\.......\...\.........\.......\ncelab.args

.........\...\.......\...\.........\.......\.ydir.file

.........\...\.......\...\.........\.......\ncvlog.hrd

.........\...\.......\...\.........\.......\.ncrun.lock

.........\...\.......\...\.........\.......\ncvlog.files

.........\...\.......\...\.........\.......\ncsim_restart.env

.........\...\.......\...\.........\.......\ncvlog.args

.........\...\.......\...\.........\.......\svlan.env

.........\...\.......\...\.........\.......\bind.lst.lnx86

.........\...\.......\...\.........\.......\vhan.env

.........\...\.......\...\.........\.......\ncverilog.args

.........\...\.......\...\.........\worklib\inca.lnx86.173.pak

.........\...\.......\...\.........\.......\.inca.db.173.lnx86

.........\...\.......\...\.........\.......\cdsinfo.tag

.........\...\.......\...\.........\.......\.cdsvmod

.........\...\.......\...\.........\cds.lib

.........\...\.......\...\.........\irun.lnx86.08.20.nc\.incl.file

.........\...\.......\...\.........\...................\svlan.args

.........\...\.......\...\.........\...................\ncvlog.env

.........\...\.......\...\.........\...................\cdsrun.lib

.........\...\.......\...\.........\...................\ncelab.hrd

.........\...\.......\...\.........\...................\.ncv.lock

.........\...\.......\...\.........\...................\files.ts

.........\...\.......\...\.........\...................\hdlrun.var

.........\...\.......\...\.........\...................\hdl.var

.........\...\.......\...\.........\...................\ncelab.env

.........\...\.......\...\.........\...................\ncsim.args

.........\...\.......\...\.........\...................\ixcom.args

.........\...\.......\...\.........\...................\ixcom.env

.........\...\.......\...\.........\...................\vhan.args

.........\...\.......\...\.........\...................\ncsim_restart.args

.........\...\.......\...\.........\...................\ncsim.env

.........\...\.......\...\.........\...................\cds.lib

.........\...\.......\...\.........\...................\ncelab.args

.........\...\.......\...\.........\...................\.ydir.file

.........\...\.......\...\.........\...................\ncvlog.hrd

.........\...\.......\...\.........\...................\.ncrun.lock

.........\...\.......\...\.........\...................\ncvlog.files

.........\...\.......\...\.........\...................\ncsim_restart.env

.........\...\.......\...\.........\...................\ncvlog.args

.........\...\.......\...\.........\...................\svlan.env

.........\...\.......\...\.........\...................\bind.lst.lnx86

.........\...\.......\...\.........\...................\vhan.env

.........\...\.......\...\.........\...................\ncverilog.args

.........\...\.......\...\.........\rtl\inca.lnx86.173.pak

.........\...\.......\...\.........\...\.inca.db.173.lnx86

.........\...\.......\...\.........\...\cdsinfo.tag

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度更多...
  • 请直接用浏览器下载本站内容,不要使用迅雷之类的下载软件,用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.

相关评论

暂无评论内容.

发表评论

*主  题:
*内  容:
*验 证 码:

源码中国 www.ymcn.org