文件名称:hdb3
介绍说明--下载内容均来自于网络,请自行研究使用
hdb3编码源程序完整版,内含插B,插V程序,功能完整,欢迎下载-library ieee
use ieee.std_logic_1164.all
entity hdb3 is
port(codein: in std_logic
clk : in std_logic
clr : in std_logic --复位信号
codeout: out std_logic_vector(1 downto 0))
end hdb3
use ieee.std_logic_1164.all
entity hdb3 is
port(codein: in std_logic
clk : in std_logic
clr : in std_logic --复位信号
codeout: out std_logic_vector(1 downto 0))
end hdb3
相关搜索: HDB3编码
(系统自动生成,下载前可以参看下载内容)
下载文件列表
hdb3编码源程序完整版.txt