搜索资源列表

  1. u1

    0下载:
  2. 基于U盘的身份认证-程序 随着科技的发展,IT技术的投飞猛进发展。优盘走进了普通计算机用户的手中,逐渐了取代了计算中的软驱的位置。现在的优盘生产厂家较多、价格比较低廉、稳定性较高、容量较大、功能也越来越多。使用计算机用户手中的优盘设备作为加密载体是最合适的选择。因为不需要任何软件商的投资,不用担心核心技术的控制。最为软件厂商的工作就是开发一套基于优盘的机密体系。
  3. 所属分类:弱点检测代码

    • 发布日期:2008-10-13
    • 文件大小:105357
    • 提供者:caner199
  1. OTSU(3)

    0下载:
  2. 大津法由大津于1979年提出,对图像Image,记t为前景与背景的分割阈值,前景点数占图像比例为w0, 平均灰度为u0;背景点数占图像比例为w1,平均灰度为u1
  3. 所属分类:图形图象

    • 发布日期:2008-10-13
    • 文件大小:1204
    • 提供者:wangyi
  1. DSA

    0下载:
  2. Digital Signature Algorithm (DSA)是Schnorr和ElGamal签名算法的变种,被美国NIST作为DSS(DigitalSignature Standard)。算法中应用了下述参数: p:L bits长的素数。L是64的倍数,范围是512到1024; q:p - 1的160bits的素因子; g:g = h^((p-1)/q) mod p,h满足h < p - 1, h^(
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:136954
    • 提供者:wildkaede
  1. u1

    0下载:
  2. 基于U盘的身份认证-程序 随着科技的发展,IT技术的投飞猛进发展。优盘走进了普通计算机用户的手中,逐渐了取代了计算中的软驱的位置。现在的优盘生产厂家较多、价格比较低廉、稳定性较高、容量较大、功能也越来越多。使用计算机用户手中的优盘设备作为加密载体是最合适的选择。因为不需要任何软件商的投资,不用担心核心技术的控制。最为软件厂商的工作就是开发一套基于优盘的机密体系。-U disk-based authentication- proced
  3. 所属分类:系统编程

    • 发布日期:2024-10-05
    • 文件大小:105472
    • 提供者:caner199
  1. OTSU(3)

    0下载:
  2. 大津法由大津于1979年提出,对图像Image,记t为前景与背景的分割阈值,前景点数占图像比例为w0, 平均灰度为u0;背景点数占图像比例为w1,平均灰度为u1-Otsu method by Otsu in 1979 suggested that the image Image, remember t for the foreground and background segmentation threshold, the prospe
  3. 所属分类:图形图象

    • 发布日期:2024-10-05
    • 文件大小:1024
    • 提供者:wangyi
  1. DSA

    0下载:
  2. Digital Signature Algorithm (DSA)是Schnorr和ElGamal签名算法的变种,被美国NIST作为DSS(DigitalSignature Standard)。算法中应用了下述参数: p:L bits长的素数。L是64的倍数,范围是512到1024; q:p - 1的160bits的素因子; g:g = h^((p-1)/q) mod p,h满足h < p - 1, h^(
  3. 所属分类:加密解密

    • 发布日期:2024-10-05
    • 文件大小:136192
    • 提供者:wildkaede
  1. syb

    0下载:
  2. 单片机开发板的电路图 包含 PCB。。。。U1=89C51 U2=555 U3=MAX232 U4=24C01 U6=X25045看门狗 X1=共阳数码管 -Single-chip development board contains the circuit PCB. . . . U1 = 89C51U2 = 555U3 = MAX232U4 = 24C01U6 = X25045 watchdog X1 = tota
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-10-05
    • 文件大小:44032
    • 提供者:sun
  1. dpjrm

    0下载:
  2. 单片机入门的书,很适合 初学者,U1=89C51 U2=555 U3=MAX232 U4=24C01 U6=X25045看门狗 X1=共阳数码管 -Singlechip book entry, it is suitable for beginners, U1 = 89C51U2 = 555U3 = MAX232U4 = 24C01U6 = X25045 watchdog X1 = total yang digital
  3. 所属分类:其他小程序

    • 发布日期:2024-10-05
    • 文件大小:252928
    • 提供者:sun
  1. B_curve

    0下载:
  2. matlab 闭曲线B样条的拟合,算例可直接使用,使用时只需要修改nq文件或者aline文件中的u1即可,也可定义u1后直接run aline-matlab closed B-spline curve fitting, numerical example can be used directly, using only a need to amend the nq documents or document aline can u1,
  3. 所属分类:matlab例程

    • 发布日期:2024-10-05
    • 文件大小:1024
    • 提供者:刘志会
  1. u1.php

    0下载:
  2. php 访问notes示范1 php官方手册上都没有全是自己摸索出来!-php visit notes Model 1 php official manuals are not entirely out of their own to explore!
  3. 所属分类:其他数据库

    • 发布日期:2024-10-05
    • 文件大小:7168
    • 提供者:wfnic
  1. hongwai_jiema

    0下载:
  2. 该小制作所需要的元件很少:单片机TA89C2051一只,RS232接口电平与TTL电平转换心片MAX232CPE 一只,红外接收管一只,晶振11.0592MHz,电解电容10uF4只,10uF一只,电阻1K1个,300欧姆左右1个,瓷片电容30P2个。发光二极管8个。价钱不足20元。 电路原理介绍: 主控制单元是单片机AT89C2051,中断口INT0跟红外接受管U1相连,接收红外信号的脉冲,8个发光二极管作为显示解码输出(
  3. 所属分类:汇编语言

    • 发布日期:2024-10-05
    • 文件大小:34816
    • 提供者:xiaoyu
  1. daima

    0下载:
  2. 用VHDL语言设计一个8位加法器: 在八位加法器代码一中:加法器是由两个4位二进制加法器U1和U2组成的8位加法器逻辑电路,其中U1用来装载8位加法器中两个加数的低4位,而U2则用来装载高4位。在设计4位加法器时,定义输入信号量CIN、A、B以及输出信号量S、Cout。定义信号量SINT/AA/BB,将加数A和0并置后赋给AA,加数B和0并置后赋给BB,形成5位二进制数,这是为在做加法时发生溢出所做的处理,然后将加数AA与BB以及进
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-05
    • 文件大小:9216
    • 提供者:SAM
  1. u1

    0下载:
  2. it explain Collections in Java
  3. 所属分类:行业发展研究

    • 发布日期:2024-10-05
    • 文件大小:50176
    • 提供者:tima
  1. xiaobo

    0下载:
  2. 对一个二阶模型模型进行脉冲响应辨识,并且通过搭建simulink模型取得了两组输入-输出数据,一组是在有高斯噪声的干扰下获得u1-y3,另外一组是在没有高斯噪声的情况下获得的u1-y1,对这两组数据进行基于haar小波基的脉冲响应辨识,与实际模型的脉冲响应相比较(注:三个excel表必须放在matlab/work文件夹下才能运行)-Second-order model to a model of impulse response ide
  3. 所属分类:matlab例程

    • 发布日期:2024-10-05
    • 文件大小:35840
    • 提供者:郜娜
  1. dct

    0下载:
  2. 在一个图像块中调整两个(或多个) DCT系数的相对大小。将描述一个使用数字图像作为载体的系统。在编码处理中,发送者将载体图像分成8×8的像素块,每一块只精确地编码一个秘密信息位。嵌入过程开始时,首先伪随机地选择一个图像块bi,用它对第i个消息比特进行编码。令Bi=D{bi}为DCT变换后的图像块。 在通信开始前,发送者和接收者必须对嵌入过程中使用的两个DCT系数的位置达成一致,让我们用(u1,v1)和(u2,v2)来表示这两个索
  3. 所属分类:加密解密

    • 发布日期:2024-10-05
    • 文件大小:1526784
    • 提供者:ljh
  1. SEND

    0下载:
  2. 跑马灯程序 C语言 说明: 1. U1为发信机,U2位收信机 2. U1收到数据后会打印出AT89C51 U1-->..., 并循环点亮8个LED 3. U2接收输入数据后保存最新的30个字符,按‘\’后输出AT89C51 U2-->-Marquee program C language descr iption: 1. U1 as transmitters, U2-bit machine rece
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-10-05
    • 文件大小:21504
    • 提供者:liu
  1. Solutions

    0下载:
  2. `timescale 1ns / 1ps module AND_OR(INP, OUT1) input [3:0] INP output OUT1 wire SIG1, SIG2 MY_AND2 U0 (.A(INP[0]), .B(INP[1]), .C(SIG1)) MY_AND2 U1 (.A(INP[2]), .B(INP[3]), .C(SIG2)) MY
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-05
    • 文件大小:7168
    • 提供者:qweabc
  1. QQnongchangVC2008

    0下载:
  2. QQ农场外挂 VC2008源代码 农场外挂源代码 农场源代码 -//验证QQ密码 bool WebQQFunction::LoginQQ(CString qqname, CString qqmm, CString qqxym, CString outstr) { CHttpConnection*pHttpConnect = Session.GetHttpConnection("ptlogin2.qq.com")
  3. 所属分类:Internet/网络编程

    • 发布日期:2024-10-05
    • 文件大小:268288
    • 提供者:罗俊杰
  1. jsp-mxnh

    0下载:
  2. 程序基于 JSP + JavaBean 开发,数据库可以使用Access,MySql,MSSQL,Oracle数据库。: ]9 ?9 [5 D, U1 s Z ~ 开发平台:Win2003 + Apache2.0.52 + Tomcat5.54 + Mod_jk 1.2.6 + JDK1.5- C8 y) g: ~. I, }5 k b* R 程序正常运行需要JVM(Java虚拟机)1.5以上的版本。 后台管理说明: 地
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-10-05
    • 文件大小:3048448
    • 提供者:对心锁爱
  1. FBA-1.1.7-(u1)

    0下载:
  2. FBA 1.1.7 Source Code (u1)
  3. 所属分类:其他小程序

    • 发布日期:2024-10-05
    • 文件大小:1929216
    • 提供者:name
« 12 3 4 »

源码中国 www.ymcn.org