搜索资源列表

  1. TLC5510

    0下载:
  2. CPLD下的A/D转换器TCL5510驱动源码-CPLD under the A / D converters TCL5510 driven FOSS
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:35573
    • 提供者:陈子牙
  1. TLC5510

    0下载:
  2. CPLD下的A/D转换器TCL5510驱动源码-CPLD under the A/D converters TCL5510 driven FOSS
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-07-01
    • 文件大小:34816
    • 提供者:陈子牙
  1. ADC_TCL5510

    0下载:
  2. ADC TLC5510的测试程序,经过测试通过,十分简单好用-ADC TLC5510 test procedures, after the test is very simple and easy
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:1024
    • 提供者:liqijun
  1. TLC5510-VHDL

    0下载:
  2. tcl5510的控制程序,采用硬件描述语言VHDL编写,对与刚入门的学者有很大的帮助-tcl5510 control procedures, the use of VHDL hardware descr iption language to prepare for entry-level academics and just very helpful
  3. 所属分类:其他小程序

    • 发布日期:2024-07-01
    • 文件大小:3072
    • 提供者:chenqin

源码中国 www.ymcn.org