搜索资源列表

  1. RD1006

    0下载:
  2. VHDL编程 : out std_logic -- Transmitter control DataBits : in std_logic_vector(1 downto 0) StopBits : in std_logic_vector(1 downto 0) ParityEnable: in std_logic ParityEven : in std_logic ParitySti
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:18.03kb
    • 提供者:谢强
  1. RD1006--I2C

    0下载:
  2. RD1006--I2C与存储器的IP 代码及说明文档,lattice提供,I2C Controller for Serial EEPROMs 源代码可用,并且包含tb文件-RD1006 -- I2C and memory IP code and documentation. Lattice offer I2C Controller for Serial EEPROMs source code available, and docum
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:201.31kb
    • 提供者:刘超
  1. RD1006

    0下载:
  2. VHDL编程 : out std_logic -- Transmitter control DataBits : in std_logic_vector(1 downto 0) StopBits : in std_logic_vector(1 downto 0) ParityEnable: in std_logic ParityEven : in std_logic ParitySti
  3. 所属分类:Windows编程

    • 发布日期:2024-11-14
    • 文件大小:18kb
    • 提供者:谢强
  1. RD1006--I2C

    0下载:
  2. RD1006--I2C与存储器的IP 代码及说明文档,lattice提供,I2C Controller for Serial EEPROMs 源代码可用,并且包含tb文件-RD1006-- I2C and memory IP code and documentation. Lattice offer I2C Controller for Serial EEPROMs source code available, and docume
  3. 所属分类:VHDL编程

    • 发布日期:2024-11-14
    • 文件大小:201kb
    • 提供者:刘超
  1. lattice_i2c

    0下载:
  2. lattice公司的i2c核rd1006 包含testbench测试模块-lattice' s i2c core rd1006 (includes test module testbench
  3. 所属分类:VHDL编程

    • 发布日期:2024-11-14
    • 文件大小:201kb
    • 提供者:李民

源码中国 www.ymcn.org