搜索资源列表

  1. ncvlog

    0下载:
  2. Cadence NC-verilog user guide C adence NC-verilog user guide C adence NC-verilog user guide Cadence NC-verilog user guide-Cadence NC-verilog user guide Cadence NC-verilog user guide Cadence NC-verilog user guid
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:3240960
    • 提供者:anwei2048
  1. ncvlog

    0下载:
  2. Cadence公司的NC-Verilog® Simulator Help文档,内容很全面共1446页。-The Cadence® NC-Verilog® simulator is a Verilog digital logic simulator that combines the high-performance of native compiled code simulation with the accu
  3. 所属分类:软件工程

    • 发布日期:2024-07-01
    • 文件大小:3313664
    • 提供者:高宇翔

源码中国 www.ymcn.org