搜索资源列表

  1. ncvlog

    0下载:
  2. Cadence NC-verilog user guide C adence NC-verilog user guide C adence NC-verilog user guide Cadence NC-verilog user guide-Cadence NC-verilog user guide Cadence NC-verilog user guide Cadence NC-verilog user guid
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:3240960
    • 提供者:anwei2048
  1. NCVerilog_tutorial-chinese

    0下载:
  2. linux下cadence nc_verilog工具使用教程,中文的,很详细,很适合学习-tool under linux cadence nc_verilog tutorials, Chinese, very detailed, very suitable for learning
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:590848
    • 提供者:pz

源码中国 www.ymcn.org