搜索资源列表

  1. mem_wb

    0下载:
  2. 采用Verilog编写的存储器,使用lpm_ram_dq模拟主存。主要内容为实现了存储器的奇偶分体,使得该存储器可以进行字或字节的读写操作。-Written by Verilog memory, use lpm_ram_dq simulated main memory. The main content of the memory parity split making the memory word or byte read and
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-07
    • 文件大小:607232
    • 提供者:Blueve
  1. lpm_ram_dq

    0下载:
  2. lpm_ram_dqLPM是参数可设置模块库Library of Parameterized Modules 的英文缩写。 设计者可以根据实际电路的设计需要,选择LPM库中的适当模块,并为其设定适当的参数,就能满足自己的设计需要。 LPM 功能模块内容丰富,每一模块的功能、参数含义、使用方法、硬件描述语言模块 参数设置及调用方法都可以在QuartusⅡ中的Help 中查阅到,方法是选择“Help”菜单中 的“Megafunctio
  3. 所属分类:其他小程序

    • 发布日期:2024-10-07
    • 文件大小:1024
    • 提供者:LCY1998

源码中国 www.ymcn.org