搜索资源列表

  1. jincunqi

    0下载:
  2. VHDL语言实现的移位器,功能包括算术左移和右移,逻辑左移和右移,循环左移和右移。-VHDL language implementation of the shifter, left and right shift functions include arithmetic, logical left and shifted to the right, left and right shift cycle.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:285696
    • 提供者:吴越

源码中国 www.ymcn.org