搜索资源列表

  1. verilog3

    0下载:
  2. 用verilog语言编写的按键控制流水灯实验程序。通过3个按键可以分别控制流水灯的亮灭、左移、右移。压缩包内也包含此按键控制流水灯实验程序的modelsim仿真文件。-Verilog language with control buttons light water experimental procedure. By three buttons can control the light water lights off, left,
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-05
    • 文件大小:190464
    • 提供者:广子
  1. led_test

    0下载:
  2. 利用FPGA实现流水灯的控制,控制led灯按一定的规律进行闪烁-FPGA Implementation of the control of the light water control led lights blinking in a certain regularity
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-05
    • 文件大小:327680
    • 提供者:shuo men
  1. led_shift

    0下载:
  2. 本程序代码实现了FPGA中的流水灯功能,可以控制向左还是向右循环点亮发光二极管。-The program code to achieve the water lights in the FPGA, you can control the left or right loop light emitting diode.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-05
    • 文件大小:418816
    • 提供者:王超
  1. LED

    0下载:
  2. fpga中实现简单的led灯控制,包括流水灯等一系列的程序,帮你玩转led-led control
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-05
    • 文件大小:1651712
    • 提供者:万云
  1. led

    0下载:
  2. 这工程主要是用于对流水灯的控制。用的是FPGA语言编写的-this progam is use for led control
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-05
    • 文件大小:301056
    • 提供者:wang
  1. T0424_auto_double

    0下载:
  2. 双核独立cpu分别控制流水灯(使用DE1开发板)(FPGA)-Dual-core independent CPUs control the water lights (using the DE1 development board)(FPGA)
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-05
    • 文件大小:12623872
    • 提供者:xuting
  1. led-coruscate

    0下载:
  2. 用fpga控制4路led流水灯,实现跑马灯等功能-4-way LED light water
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-05
    • 文件大小:3050496
    • 提供者:李林
  1. DSPPFPGA20050428

    0下载:
  2. DSP和FPGA的试验箱原理文件,包括原理图,各种程序AD/flash,流水灯,以及电机控制等各种程序,以及必要的实验指导书-Chamber principle of DSP and FPGA files, including schematics, various procedures the AD/flash light water, as well as motor control and other procedures, an
  3. 所属分类:软件工程

    • 发布日期:2024-07-05
    • 文件大小:5989376
    • 提供者:
  1. lsd

    0下载:
  2. 本实验采用fpga控制流水灯,采用ROM存储数据,通过计数器调用数据,产生跑马灯-The experimental fpga control light water ROM to store data, call data generated by a counter Marquee
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-05
    • 文件大小:722944
    • 提供者:卢广昌
  1. LED

    0下载:
  2. LED流水灯工作,Altera FPGA控制LED灯,编程使用Verilog语言,控制六个流水灯-6 LEDs,control by FPGA
  3. 所属分类:其他小程序

    • 发布日期:2024-07-05
    • 文件大小:311296
    • 提供者:张天霖
  1. Johnson_counter

    0下载:
  2. 基于FPGA的Jhonson计数器,能用按键控制流水灯-FPGA-based Jhonson counter, can control buttons light water
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-05
    • 文件大小:458752
    • 提供者:huang
  1. run_led

    0下载:
  2. Xilinx FPGA, ISE工程文件,Verilog语言实现流水灯,设计了分频器,可精确到点亮时间为一秒,可控制流水灯左右移位方向-Xilinx FPGA, ISE project file, Verilog language water lights, designed divider, accurate to one second light time, you can control the direction of ligh
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-05
    • 文件大小:304128
    • 提供者:zy
  1. led

    0下载:
  2. FPGA控制流水灯时间及频率程序,可用于熟悉FPGA基础程序编写-FPGA control water lights time and frequency that you can use familiar FPGA-based programming
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-05
    • 文件大小:2481152
    • 提供者:王小二
  1. ps2_pdf

    0下载:
  2. 用FPGA对流水灯的程序,来控制流水灯的不用亮法。-Using FPGA to control the flow of light, to control the flow of light.
  3. 所属分类:汇编语言

    • 发布日期:2024-07-05
    • 文件大小:365568
    • 提供者:于丽
  1. led_water_keyctrl

    0下载:
  2. 对于学习FPGA的同学来说按键控制流水灯是必不可少的流程 但是通常将两者配合起来使用是很重要的-It is essential for students to learn the FPGA key control water lamp is the essential process but it is very important to use the combination of the two.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-05
    • 文件大小:3212288
    • 提供者:Gent Liu
  1. led_water

    0下载:
  2. 利用FPGA实现流水灯的控制,适合初学者学习FPGA-FPGA led_water
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-05
    • 文件大小:182272
    • 提供者:解剑絮
  1. 至简设计法--流水灯

    0下载:
  2. LED流水广告灯 工程说明 在本案例中,使用常用的verilog语言完成该程序,设计并控制8个灯的花式或循环点亮;即上电后,实现左移和右移交替的流水灯。 案例补充说明 在FPGA电路设计中,尽管流水灯的设计属于比较简单的入门级应用,但是其运用到的方法,是FPGA设计中最核心和最常用部分之一,是FPGA设计必须牢固掌握的基础知识。从这一步开始,形成良好的设计习惯,写出整洁简洁的代码,对于FPGA设计师来说至关重要。(LED flow ad
  3. 所属分类:嵌入式/单片机编程

  1. leddisplay

    0下载:
  2. 控制FPGA模块式流水灯闪烁,分为四种不同模式闪烁,(Modular pipelined flicker)
  3. 所属分类:VHDL编程

  1. 黑金Altera开发板Verilog实例教程

    0下载:
  2. 控制开发板上的4个LED灯,计数器记到4秒清零,控制LED灯依次亮(Controlling the four leds on the development board, the counter down to the 4 seconds reset, the control the LED light in turn)
  3. 所属分类:其他小程序

    • 发布日期:2024-07-05
    • 文件大小:16449536
    • 提供者:意中人8383
  1. 流水灯

    0下载:
  2. 利用FPGA控制简单LED灯的熄灭和点亮(Using FPGA to control the extinction and lighting of a simple LED lamp)
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-05
    • 文件大小:308224
    • 提供者:朽木生
« 12 »

源码中国 www.ymcn.org