搜索资源列表

  1. EP2C8Q208_Whole

    0下载:
  2. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-30
    • 文件大小:2670592
    • 提供者:mh
  1. PLL_50MHz_to_12MHz

    0下载:
  2. Verilog HDL语言编写EP2C8Q208芯片PLL分频的简单程序,50MHz分频为12MHz-Verilog HDL language,EP2C8Q208 chip, PLL frequency of simple procedures, 50MHz to 12MHz frequency
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:53248
    • 提供者:LM
  1. EP2C8Q_Nios_LED

    0下载:
  2. CYCLONE II NOIS例程,学习NIOS入门例程-CYCLONE II NOIS routines, learning NIOS entry routine
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:13072384
    • 提供者:xiong
  1. EP2C8Q_V1_Nios_Example_07_SD_35TFT

    0下载:
  2. 基于EP2C8Q 的,NIOS读写SD卡,以及TFT-LCD控制的Example-Based on EP2C8Q of, NIOS SD card reader, and TFT-LCD Control Example
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:23929856
    • 提供者:moke
  1. FFT

    0下载:
  2. 用NIOS2写的FFT程序,用EP2C8Q的板子测试正常,运算速度快-NIOS2 write with the FFT procedures, the use of EP2C8Q board test normal, the operation is fast
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:45711360
    • 提供者:jin
  1. cor

    0下载:
  2. fpga核心板原理图 ep2c8q原理图-fpga core board schematic diagram ep2c8q
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:38912
    • 提供者:
  1. EP2C8Q_Nios_TFT_LCD

    0下载:
  2. EP2C8Q,利用nios驱动2.4寸TFT屏-EP2C8Q,use nios to let 2.4_tft screen work normal
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:14491648
    • 提供者:zhuwenqing
  1. Altera-EP2C8Q-Nios-Example

    0下载:
  2. Altera EP2C8Q Nios例程-Altera EP2C8Q Nios Examples
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:26194944
    • 提供者:song
  1. UART

    0下载:
  2. 本代码用verilog语言配合sopc和nios实现了串口调试的目的。软件编程用C语言描述,只是比较简单的例子,适合初学者做了解用,本人亲自在EP2C8Q上实践。-The code to use verilog language sopc and nios achieved with serial debugging purposes. Software programming using C language descr iption
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:17866752
    • 提供者:普尔

源码中国 www.ymcn.org