搜索资源列表

  1. EDA

    0下载:
  2. 设计一个十字路口的交通灯控制系统 要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶*通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。-Design a crossroads of traffic light co
  3. 所属分类:其他小程序

    • 发布日期:2024-09-27
    • 文件大小:58368
    • 提供者:古月

源码中国 www.ymcn.org