搜索资源列表

  1. dianzimimasuo

    0下载:
  2. 用4*4键盘组成0-9数字键及确认键。 用8位数码管显示电路提示信息,当输入密码 时,只显示“8.”,当密码位数输入完毕按确 认键时,对应的密码与设定的密码进行比较, 若密码正确,则门开,此处继电器发出“叮咚”声;若 密码不正确,禁止按键输入3秒,同时发出“滴答” 报警声;若在3秒内仍有按键按下,则禁止按键 输入3秒被重新禁止-4* 4 keyboard with the composition of the
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-09-27
    • 文件大小:20480
    • 提供者:han_

源码中国 www.ymcn.org