搜索资源列表

  1. DAC0832VHDL

    0下载:
  2. DAC0832 接口电路程序.功能:产生频率为762.9Hz的锯齿波DAC0832VHDL程序与仿真
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3470
    • 提供者:黄上
  1. DAC0832VHDL

    0下载:
  2. DAC0832 接口电路程序.功能:产生频率为762.9Hz的锯齿波DAC0832VHDL程序与仿真-DAC0832 procedures interface circuit. Functions: generate the sawtooth frequency of 762.9Hz and simulation procedures DAC0832VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:3072
    • 提供者:黄上
  1. DAC0832

    0下载:
  2. 8.6 DAC0832 接口电路程序 见随书所附光盘中文件:DAC0832VHDL程序与仿真。 --文件名:DAC0832.VHD --功能:产生频率为762.9Hz的锯齿波。 --最后修改日期:2004.3.18。 -8.6 DAC0832 Interface Circuit procedures, see the book with accompanying CD-ROM in the file: DAC0832
  3. 所属分类:其他小程序

    • 发布日期:2024-07-03
    • 文件大小:3072
    • 提供者:wangnan
  1. DAC0832vhdl

    0下载:
  2. DAC0832接口电路程序和仿真程序通过编译完全可以使用-DAC0832 interface circuit simulation program through the compilation process and can use
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-07-03
    • 文件大小:3072
    • 提供者:李传敏
  1. DAC0832

    0下载:
  2. DAC0832VHDL程序与仿真 文件名:DAC0832.VHD --功能:产生频率为762.9Hz的锯齿波。 -DAC0832VHDLProcedures and simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-03
    • 文件大小:3072
    • 提供者:沈雪
  1. 8.6 DAC0832 接口电路程序

    0下载:
  2. 8.6 DAC0832 接口电路程序,DAC0832VHDL程序与仿真,功能:产生频率为762.9Hz的锯齿波(8.6 DAC0832 interface circuit program, DAC0832VHDL program and simulation, function: producing saw tooth wave with frequency of 762.9Hz)
  3. 所属分类:其他小程序

    • 发布日期:2024-07-03
    • 文件大小:3072
    • 提供者:少年高飞

源码中国 www.ymcn.org