搜索资源列表

  1. crc

    1下载:
  2. 用Verilog编写crc校验码,包括8位,12位,16位,32位,非常实用
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:11138
    • 提供者:asd
  1. crc_verilog_xilinx

    0下载:
  2. 这是一个在FPGA上实现CRC算法的程序,包含了CRC-8,CRC-12,CRC-16,CRC-CCIT,CRC-32一共五种校验形式。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:10591
    • 提供者:李奥运
  1. CRC12

    0下载:
  2. CRC12代码,查表法和计算法比较,可以得出明确的时间效应.-CRC12 code look-up table method and computation of comparison, it is clearly time effect.
  3. 所属分类:Windows编程

    • 发布日期:2024-07-02
    • 文件大小:6144
    • 提供者:zzc
  1. shortcutcrc

    0下载:
  2. CRC循环冗余编码程序实现,程序简单功能齐备-Cyclic Redundancy Code program, a simple functional completeness
  3. 所属分类:书籍源码

    • 发布日期:2024-07-02
    • 文件大小:6144
    • 提供者:lulu
  1. crc

    0下载:
  2. 用Verilog编写crc校验码,包括8位,12位,16位,32位,非常实用-Prepared using Verilog CRC check codes, including 8, 12, 16, 32, a very practical
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-02
    • 文件大小:11264
    • 提供者:asd
  1. NewMsg-RF1100

    0下载:
  2. (1) 315、433、868、915Mh的ISM 和SRD频段 (2) 最高工作速率500kbps,支持2-FSK、GFSK和MSK调制方式 (3) 高灵敏度(1.2kbps下-110dDm,1%数据包误码率) (4) 内置硬件CRC 检错和点对多点通信地址控制 (5) 较低的电流消耗(RX中,15.6mA,2.4kbps,433MHz) (6) 可编程控制的输出功率,对所有的支持频率可达+10dBm (7)
  3. 所属分类:文档资料

    • 发布日期:2024-07-02
    • 文件大小:319488
    • 提供者:李华力
  1. crc_verilog_xilinx

    0下载:
  2. 这是一个在FPGA上实现CRC算法的程序,包含了CRC-8,CRC-12,CRC-16,CRC-CCIT,CRC-32一共五种校验形式。-err
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-02
    • 文件大小:10240
    • 提供者:李奥运
  1. VBCRC

    0下载:
  2.   循环冗余码校验英文名称为Cyclical Redundancy Check,简称CRC。它是利用除法及余数的原理来作错误侦测(Error Detecting)的。实际应用时,发送装置计算出CRC值并随数据一同发送给接收装置,接收装置对收到的数据重新计算CRC并与收到的CRC相比较,若两个CRC值不同,则说明数据通讯出现错误。 根据应用环境与习惯的不同,CRC又可分为以下几种标准:   ①CRC-12码;
  3. 所属分类:其他小程序

    • 发布日期:2024-07-02
    • 文件大小:3072
    • 提供者:qizhiwei
  1. CCITT_CRC16

    0下载:
  2. CCITT的CRC16代码,自己编写的已通过验证,注意和Matlab的CRC函数有所不同。-This scr ipt calculates the 16-bit ITU-T CRC. The generator polynomial is G(x)=1+ X^5+ X^12+ X^16
  3. 所属分类:matlab例程

    • 发布日期:2024-07-02
    • 文件大小:1024
    • 提供者:张原
  1. checkCRC

    0下载:
  2. CRC效验程序,使用CRC-16和CRC-CCITT方法。 其中CRC-16的效验字是: X^16 + X^15 + X^2 + 1,CRC-12的效验字是: X^12 + X^11 + X^3 + X^2 + X^1 +1 CRC-CCITT的效验字是: X^16 + X^12 + X^5 + 1 -CRC-tested program, using the CRC-16 and CRC-CCITT method. Inclu
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-07-02
    • 文件大小:1024
    • 提供者:spencer
  1. crc

    0下载:
  2. 自动完成CRC校验码的计算 1 010110001101 110011 可以得到: (1)index:5 pointing:1 101011 110011 011000 (2)index:6 pointing:0 110000 110011 000011 (3)index:7 pointing:0 000110 0 000110 (4)index:8 pointing:0
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-07-02
    • 文件大小:1024
    • 提供者:夏治文
  1. CRC16_2

    0下载:
  2. IAR Asm for MSP430. Calculate the CCITT/ITU/CRC-16 parameters for this CRC are: Polynomial: x^16 + x^12 + x^5 + 1 (0x1021) Start value 0xFFFF Data receives from USART Checksum in R11.-IAR Asm for MSP430.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-07-02
    • 文件大小:2048
    • 提供者:vlad
  1. CRC

    0下载:
  2. 一個CRC-12計算的串入式電路並下載至FPGA電路板-FPGA CRC-16
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-02
    • 文件大小:1024
    • 提供者:TAE
  1. Perl_for_CRC

    0下载:
  2. Cyclic Redundancy Check (CRC) is an error-checking code that is widely used in data communication systems and other serial data transmission systems. CRC is based on polynomial manipulations using modulo arithmetic.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-02
    • 文件大小:90112
    • 提供者:尤恺元
  1. CRC-6-8-12-16-32

    0下载:
  2. CRC校验代码,包含6bit\8bit\12bit\16bit\32bit 查表法和移位法-CRC checksum code, including 6bit \ 8bit \ 12bit \ 16bit \ 32bit lookup table and shift method
  3. 所属分类:软件工程

    • 发布日期:2024-07-02
    • 文件大小:17408
    • 提供者:luice
  1. CRC12

    0下载:
  2. 1、环境要求:Windows/XP/7;C;信息交换内容为键盘输入的字符串;通信传输通过共享文件实现 2、编码要求:生成多项式为CRC-12 3、功能要求:能在两台计算机机上运行程序,一台产生CRC码,另一台校验。-1, environmental requirements: Windows/XP/7 C information exchange content as a string keyboard input com
  3. 所属分类:Internet/网络编程

    • 发布日期:2024-07-02
    • 文件大小:2048
    • 提供者:红烨
  1. CRC_Check_sv2

    0下载:
  2. 运用matlab实现CRC编码。输入任意二进制数据,输出相应CRC编码。可选择CRC-12,CRC-16,CRC-CCIT 或者自己输入多项式系数。-CRC coding using matlab realize. Arbitrary binary data input, the output corresponding CRC encoding. Optional CRC-12, CRC-16, CRC-CCIT or enter y
  3. 所属分类:matlab例程

    • 发布日期:2024-07-02
    • 文件大小:7168
    • 提供者:刘蛋蛋
  1. CRC

    0下载:
  2. CRC校验参考设计Verilog代码,crc8,16,32bit- crc8_8.v : CRC-8, 8-bit data input. crc12_4.v : CRC-12, 4-bit data input. crc16_8.v : CRC-16, 8-bit data input. crc_ccit_8.v : CRC-C
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-02
    • 文件大小:10240
    • 提供者:guangngqiang
  1. crc_verilog_xilinx

    0下载:
  2. 包括下面文档: readme.txt : This file crc8_8.v : CRC-8, 8-bit data input. crc12_4.v : CRC-12, 4-bit data input. crc16_8.v : CRC-16, 8-bit data input. crc_ccit_8.v : CRC-CC
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-02
    • 文件大小:10240
    • 提供者:chris_lj
  1. CRC

    0下载:
  2. CRC32:polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32) * data width: 8 CRC16:polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32) * data width: 8
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-02
    • 文件大小:2048
    • 提供者:FYSG
« 12 »

源码中国 www.ymcn.org