搜索资源列表

  1. counter4b

    0下载:
  2. Vivado同步计数器VHDL设计 具有异步复位和同步预置数功能 同步计数器同步计数器同步计数器(The Vivado synchronous counter VHDL is designed with asynchronous reset and synchronous preset function, synchronous counter, synchronous counter and synchronous counter.
  3. 所属分类:其他小程序

    • 发布日期:2024-09-27
    • 文件大小:1024
    • 提供者:李毅飞表哥

源码中国 www.ymcn.org