搜索资源列表

  1. counter_12

    0下载:
  2. 使用VHDL语言编写的十二进制计数器,有异步清零、同步置数的功能、-Using the VHDL language of the 10 binary counter, there are asynchronous clears, synchronous set the number of functions,
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:624640
    • 提供者:liwx
  1. counter_12

    0下载:
  2. 12进制计数器工程,用xilinx ISE设计,供初学者学习-12 hex counter project using xilinx the ISE design for beginners to learn
  3. 所属分类:书籍源码

    • 发布日期:2024-07-01
    • 文件大小:112640
    • 提供者:皇天

源码中国 www.ymcn.org