搜索资源列表

  1. cordic

    0下载:
  2. CORDIC(Coordinate Rotation Digital Computer)算法即坐标旋转数字计算方法。 CORDIC算法,能够通过平移和累加快速实现基础的数学函数,包括三角函数,开方,指数,对数,平方根等函数。-CORDIC (Coordinate Rotation Digital Computer) algorithm for the coordinate rotation digital calculation. CO
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:1024
    • 提供者:陈华
  1. Cordic

    0下载:
  2. CORDIC(Coordinate Rotation Digital Computer)算法即坐标旋转数字计算方法,是J.D.Volder1于1959年首次提出,主要用于三角函数、双曲线、指数、对数的计算。该算法通过基本的加和移位运算代替乘法运算,使得矢量的旋转和定向的计算不再需要三角函数、乘法、开方、反三角、指数等函数。-CORDIC (Coordinate Rotation Digital Computer) algorithm t
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-06-30
    • 文件大小:1114112
    • 提供者:jiale
  1. cordic算法及fpga验证程序

    0下载:
  2. cordic算法该算法通过基本的加和移位运算代替乘法运算,使得矢量的旋转和定向的计算不再需要三角函数、乘法、开方、反三角、指数等函数。改程序包含了MATLAB历程及FPGA验证程序
  3. 所属分类:matlab例程

    • 发布日期:2016-07-29
    • 文件大小:783
    • 提供者:z1042410188
  1. ccordic

    0下载:
  2. CORDIC(Coordinate Rotation Digital Computer)算法即坐标旋转数字计算方法,是J.D.Volder1于1959年首次提出,主要用于三角函数、双曲线、指数、对数的计算。该算法通过基本的加和移位运算代替乘法运算,使得矢量的旋转和定向的计算不再需要三角函数、乘法、开方、反三角、指数等函数。-CORDIC (Coordinate Rotation Digital Computer) algorithm i
  3. 所属分类:数学计算/工程计算

    • 发布日期:2024-06-30
    • 文件大小:2048
    • 提供者:龙畅游
  1. cordic

    0下载:
  2. 基于verilog HDL的cordic算法FPGA实现。省去繁琐的乘法开方计算。IDE为vivado 2014( U57FA u4E8Everilog HDL u7684cordic u7B97 u6CD5FPGA u5B9E u73B0 u3002 u7B1 u53BB u7E1 u7410 u7684 u4E58 u6CD5 u5F00 u65B9 u8BA1 u7B97 u300BIDE
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:97280
    • 提供者:涛2017777

源码中国 www.ymcn.org