搜索资源列表

  1. cnt8bc

    0下载:
  2. 8位加减带异步复位计数器,使用双向输入管脚- Design an 8-bit up and down synchronous counter in VHDL with the following features: The same ports are used for signals to be inputted and outputted. The ports are bi-directionally buffered. T
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-20
    • 文件大小:1024
    • 提供者:fjmwu

源码中国 www.ymcn.org