搜索资源列表

  1. cnt24_t

    0下载:
  2. 这是二十四进制计数器的源程序,有需要的同学可以参照一下!-This is 24 hexadecimal counter source, needy students can refer to you!
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:48128
    • 提供者:逗号
  1. cnt24

    0下载:
  2. 24进制的VHDL程序,适合EDA初学者使用的基础实验-24 into the system, suitable for beginners program VHDL EDA of fundamental experiment using
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:94208
    • 提供者:zzz
  1. cnt24

    0下载:
  2. 24进制计数器,实现了电子时钟小时位的24进制计数-24 hex counter
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:3072
    • 提供者:Tom
  1. jinwang

    0下载:
  2. 4位二进制计数器 基于quartus2程序-module CNT24(CLK,Q) output [3:0] Q input CLK reg [3:0] Q1 always @(posedge CLK) begin Q1<=Q1+1 end assign Q=Q1 endmodule
  3. 所属分类:汇编语言

    • 发布日期:2024-09-20
    • 文件大小:268288
    • 提供者:进网
  1. cnt24

    0下载:
  2. VHDL24秒篮球倒计时,VHDL编写,实现23到0计数。quartues ii 9.1编写的。-VHDL24 sec basketball countdown, written in VHDL, to achieve 23 to 0 count. Quartues written in II 9.1.
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:145408
    • 提供者:Ronge

源码中国 www.ymcn.org