搜索资源列表

  1. chuzhuche2

    0下载:
  2. VHDL语言设计的出租车计费器,能模拟汽车启动、停止、暂停、车速等状态,能预置起步费、每公里收费、车行加费里程,能实现计费功能。功能强大,初学者适合看一看。-VHDL language design taxi billing, and can simulate the vehicle to start, stop, pause, speed, etc., and to preset the initial charges, fees a
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:1896448
    • 提供者:阿Q

源码中国 www.ymcn.org