搜索资源列表

  1. std_logic_1164

    0下载:
  2. 这个包定义了vhdl标准,为设计者在使用数据类型时建立用于vhdl的互连模型。-This packages defines a standard for designers to use in describing the interconnection data types used in vhdl modeling.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-02
    • 文件大小:6144
    • 提供者:heyan12121
  1. 1

    0下载:
  2. 加减计数器 library ieee use ieee. std_logic-_1164.all entity dec3_8 is port(a,b,c,s1,s2,s3: in std_logic y: out std_logic_vector(0 to 7)) end architecture b of dec3_8 is si
  3. 所属分类:汇编语言

    • 发布日期:2024-07-02
    • 文件大小:1024
    • 提供者:镜辰

源码中国 www.ymcn.org