搜索资源列表

  1. DTXS

    0下载:
  2. Verilog HDL编写的四位数码管动态显示程序,外围电路用CPLD来实现
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:852
    • 提供者:yangytp
  1. 简易电子钟

    0下载:
  2. 使用数码管动态显示方式实现简易电子钟: 显示格式:XX XX XX XX — 时 分 秒 按reset健 初始为12:00:00 全使用verilog语言
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2011-02-03
    • 文件大小:684312
    • 提供者:ikedodo
  1. 矩阵键盘控制数码管

    0下载:
  2. verilog编程实现的矩阵键盘控制数码的程序,包括矩阵键盘驱动,seg7x8动态显示驱动及顶层显示模块的实现。
  3. 所属分类:源码下载

    • 发布日期:2011-04-05
    • 文件大小:110190
    • 提供者:miwueshine
  1. segment2

    0下载:
  2. 四位动态刷新数码管显示,VERILOG代码,含详细的中文注释.-Four dynamic refresh digital tube display, VERILOG code, with detailed notes in Chinese.
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-02
    • 文件大小:1024
    • 提供者:yingzi
  1. DTXS

    0下载:
  2. Verilog HDL编写的四位数码管动态显示程序,外围电路用CPLD来实现-Verilog HDL, prepared by four digital tube dynamic display procedures, the external circuit with CPLD to realize
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-02
    • 文件大小:1024
    • 提供者:yangytp
  1. CLOCK

    0下载:
  2. 文通过ALTERA公司的quartus II软件,用Verilog HDL语言完成多功能数字钟的设计。主要完成的功能为:计时功能,24小时制计时显示;通过七段数码管动态显示时间;校时设置功能,可分别设置时、分、秒;跑表的启动、停止 、保持显示和清除。-Through the ALTERA company quartus II software, using Verilog HDL language to complete the de
  3. 所属分类:其他小程序

    • 发布日期:2024-10-02
    • 文件大小:182272
    • 提供者:张保平
  1. shumaguan

    0下载:
  2. 用CPLD驱动数码管,实现从0000计到9999,数码管是用动态显示,程序用VERILOG完成的-CPLD drives with digital control, of from 0000 to 9999, digital control is a dynamic display, the program completed with VERILOG
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-02
    • 文件大小:1460224
    • 提供者:wagjur
  1. dongtaishumaguan

    0下载:
  2. 用verilog HDL编写的基于fpga的动态数码管显示程序。-Verilog HDL prepared with fpga based digital control of dynamic display program.
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-02
    • 文件大小:3072
    • 提供者:maylag
  1. shuma

    0下载:
  2. 数码管动态显示程序,verilog的,已经调试成功-verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-02
    • 文件大小:1024
    • 提供者:houdan
  1. seg

    0下载:
  2. 数码管显示(verilog) 自己写的 在数码管上显示01234567 动态显示-Digital LED display (verilog) himself wrote in the digital tube display 01234567 dynamic display
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-02
    • 文件大小:1024
    • 提供者:Along
  1. lcd

    0下载:
  2. 用Verilog写的数码管动态显示代码,可以直接使用,在quartus ii软件9.0以上版本运行-Verilog digital control with dynamic display of written code, can be used directly in the quartus ii software, version 9.0 or above to run
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-02
    • 文件大小:348160
    • 提供者:陈飞
  1. top

    0下载:
  2. 在ISE环境里,用verilog语言编写得数码管显示程序,能动态计数-In the ISE environment, use the verilog language digital display program was able to dynamically count
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-02
    • 文件大小:1024
    • 提供者:厉恩鹏
  1. matrixKeyboard_seg7

    0下载:
  2. 基于Verilog实现的矩阵键盘扫描和数码管动态显示-Verilog-based implementation of matrix keyboard scanning and LED dynamic display
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-02
    • 文件大小:100352
    • 提供者:许地
  1. REFRESH

    0下载:
  2. VERILOG实现数码管动态刷新,开机复位后显示1234-VERILOG digital dynamic refresh, power-on reset is displayed after 1234
  3. 所属分类:软件工程

    • 发布日期:2024-10-02
    • 文件大小:1024
    • 提供者:panwei
  1. shumaguandongtaixianshi

    0下载:
  2. 实现开发板上8 个数码管动态显示0~7。通过这个实验,掌握采用Verilog HDL 语言编程实现7 段数码管显示译码器以及数码管动态扫描显示的方法。-Development board 8 digital tube dynamic display from 0 to 7. Through this experiment, master the 7-segment LED display decoder and digital tu
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-02
    • 文件大小:458752
    • 提供者:王恒
  1. dtsmg

    0下载:
  2. 此代码运用的verilog语言实现对于动态数码管的编写,在动态数码管上显示0~7这几个数字。-This code using the verilog language realization for dynamic digital tube compiling, and in dynamic digital tube display 0 ~ 7 this a few Numbers
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-02
    • 文件大小:2220032
    • 提供者:边茂宣
  1. anjian-shumaguan-liushuideng

    0下载:
  2. verilog HDL语言,功能:按键控制,数码管显示多个状态,同时显示动态流水灯-failed to translate
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-02
    • 文件大小:56320
    • 提供者:vera
  1. SMG

    1下载:
  2. 实现将BCD码动态扫描显示在数码管上--verilog(The realization of dynamic scanning BCD code displayed on the digital tube --verilog)
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-02
    • 文件大小:3072
    • 提供者:Yukioooo
  1. 数码管动态显示

    0下载:
  2. 动态数码管的显示源码,分享出来交流学习,希望大家喜欢(dynamic display of digital tube)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-10-02
    • 文件大小:11264
    • 提供者:木槿范特西
  1. Exp_5

    0下载:
  2. 数码管动态显示,可以将输入的按键值显示在数码管上。(Dynamic display of digital tube)
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-02
    • 文件大小:2600960
    • 提供者:黑河浪人
« 12 »

源码中国 www.ymcn.org