搜索资源列表
AddisonWesleyEffectiveSoftwareTesting50SpecificWay
- In most software-development organizations, the testing program functions as the final \"quality gate\" for an application, allowing or preventing the move from the comfort of the software-engineering environment int
LookupAPI
- This program uses the database created by MakeAPIDB. It opens a connection * to a database using the same property file used by MakeAPIDB. Then it * queries that database in several interesting ways to obtain usefu
agg-2.4
- The Anti-Grain Geometry Project A high quality rendering engine for C++ http://antigrain.com-The Anti-Grain Geometry Project A high qua - lity rendering engine for C http : / / antigrain.com
QccPack-0.54-1.tar
- QccPack-0.54-1 released (2007-04-30) is being developed and tested on Fedora Core Linux. QccPack provides an open-source collection of library routines and utility programs for quantization, compression, and coding of da
自动售货机VHDL程序与仿真
- library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_l
AddisonWesleyEffectiveSoftwareTesting50SpecificWay
- In most software-development organizations, the testing program functions as the final "quality gate" for an application, allowing or preventing the move from the comfort of the software-engineering environment into
LookupAPI
- This program uses the database created by MakeAPIDB. It opens a connection * to a database using the same property file used by MakeAPIDB. Then it * queries that database in several interesting ways to obtain usefu
agg-2.4
- The Anti-Grain Geometry Project A high quality rendering engine for C++ http://antigrain.com-The Anti-Grain Geometry Project A high qua- lity rendering engine for C http :// antigrain.com
QccPack-0.54-1.tar
- QccPack-0.54-1 released (2007-04-30) is being developed and tested on Fedora Core Linux. QccPack provides an open-source collection of library routines and utility programs for quantization, compression, and coding of da
QUAD4
- 动力响应程序 全英文的,需要英语功底好的 -Dynamic response of the whole process in English, the need for good English skills
CRC_Calculation_For_Modbus
- phuc tap qua cac ban a
screenthief2
- Chup man hinh qua UDP
cgiUpload
- Upload file qua webserver
zl4arm_libs
- day la tai lieu cho mach nap ARM qua cong may in
LED_Source
- source led giao tiep qua cong LPT
converttopowerpoint.ZIP
- chat nhieu nick, dung de chat trong yahoo, ai can lien he nick ya hoo thaiduongtrieuvu se gui cho, cai trang chet tiet nay rac roi qua
apps
- j2me qua tuyet dnh anh em oi
dieu-khien-thiet-bi-bao-chay-bao-trom-qua-mang-di
- dieu khien thiet bi bao chay bao trom qua mang dien thoai
SQLSERVER-QUA-INTERNET
- SQLSERVER QUA INTERNET
Chuong-trinh-dieu-khien-led-qua-ethernet
- Dieu khien led qua ethernet