搜索资源列表

  1. LED

    0下载:
  2. 学单片机得先弄懂它的IO口,对于控制IO口的输出。最好的方法就是做个LED流水灯。这也是很多本科毕业设计的题目。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:51621
    • 提供者:laren
  1. LED

    0下载:
  2. 学单片机得先弄懂它的IO口,对于控制IO口的输出。最好的方法就是做个LED流水灯。这也是很多本科毕业设计的题目。-Singlechip school must first understand its IO mouth, mouth to control the output IO. The best way is to be a water LED lights. This is also a lot of undergraduate
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-27
    • 文件大小:51200
    • 提供者:laren
  1. uP51s001

    0下载:
  2. 此代码可以实现单片机流水灯设计,使单片机上的LED灯顺序点亮。-This code can achieve single-chip design flow lights, so that single-chip LED lights on the order of light.
  3. 所属分类:汇编语言

    • 发布日期:2024-06-27
    • 文件大小:41984
    • 提供者:guohaifeng
  1. 8-led-VHDL

    0下载:
  2. 8位流水灯程序设计,跑马灯效果显示,里面是VHDL程序。-8 water lamps program design, which is a VHDL program
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:109568
    • 提供者:luo
  1. flowerlights

    0下载:
  2. 流水灯:这是我个人的led流水灯设计,希望大家能够喜欢。-this is for led
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-27
    • 文件大小:13312
    • 提供者:李洪
  1. Multistatewaterlights

    0下载:
  2. 多种状态的流水灯课程设计:LED闪烁种类: ①、单个LED顺时针闪烁 ②、LED顺时针递增亮 ③、红灯LED和绿灯LED两者循环交替闪烁 ④、对角线LED顺时针循环闪烁 ⑤、所有LED同时闪烁 ⑥、单个LED顺时针闪烁,再逆时针闪烁 ⑦、对角线LDE顺时针闪烁,再逆时针闪烁 ⑧、LED顺时针递增亮,再逆时针递增亮 ⑨、两个LED顺时针闪烁 -Multi-state water lights
  3. 所属分类:软件工程

    • 发布日期:2024-06-27
    • 文件大小:2291712
    • 提供者:嘉宾
  1. MCU51

    0下载:
  2. 简单mc51基础程序, 包括数码管显示 流水灯 音乐盒 矩阵键盘等等。适当增加 删改必要的程序进行高级程序开发设计-led program
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-27
    • 文件大小:1309696
    • 提供者:敏敏
  1. LED

    0下载:
  2. 基于EP1C6Q240的流水灯设计,简单易懂,调试通过,基于quartus6.0-The water-based EP1C6Q240 light design, easy to understand, debug through, based on quartus6.0
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:249856
    • 提供者:
  1. LED

    0下载:
  2. 以查表方式led流水灯程序,采用51汇编程序设计-Way to look-up table lamp led water program, with 51 assembly programming
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-27
    • 文件大小:33792
    • 提供者:陈永军
  1. led-mood-light

    0下载:
  2. 基于单片机的LED花样流水灯设计,可以实现6钟功能-Pattern of light water design microcontroller-based LED function can be achieved 6 minutes
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-27
    • 文件大小:2048
    • 提供者:周培
  1. LED

    0下载:
  2. 流水灯设计原则以及源代码的编写,主要在器件上实现CPLD-Flowing water light design principle and the writing of the source code, mainly for the CPLD device
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:1024
    • 提供者:赵海朝
  1. LED

    0下载:
  2. 在FPGA平台上实现流水灯设计,采用原理图输入的方式,显示不同花样的流水灯,并且可以自动切换。-Light water design on an FPGA platform, using schematic entry, display different patterns of light water, and can automatically switch.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:571392
    • 提供者:jwshi
  1. led

    0下载:
  2. 这是一个单片机电路设计程序,可实现LED流水灯的功能,电路没有问题,选哟多个芯片,功能强大欢迎学习交流。-this is a package .A program for LED lights,you can learn from it and research on the blue map.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-27
    • 文件大小:38912
    • 提供者:Zoe
  1. led

    0下载:
  2. 采用并行算法实现流水灯设计,其中top_module是顶层文件。-Flash light by parallel algorithm design
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:2048
    • 提供者:许雷
  1. LED

    0下载:
  2. LED流水灯设计多种模式切换内含仿真图与程序设计,亲测可用-LED light water design simulation diagram containing multiple mode switching and program design, pro-test available
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-27
    • 文件大小:371712
    • 提供者:沈明伟
  1. led

    0下载:
  2. 简单程序,led流水灯设计,基于移位操作-Simple procedures, led water lamp design, based on the shift operation
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:317440
    • 提供者:杜飞飞
  1. 32LED蝶行流水

    0下载:
  2. 比较简单的流水灯设计,用来实现led的花样蝶形流水(Relatively simple circuit, used to achieve led butterfly pattern butterfly flow)
  3. 所属分类:其他小程序

    • 发布日期:2024-06-27
    • 文件大小:77824
    • 提供者:望月
  1. 流水灯

    0下载:
  2. 简易流水灯源程序:本设计要求采用单片机,实现8只LED灯从上到下点亮、从下到上、从中间到两边、从两边到中间的“流水”效果。使用两个按钮控制,其中一个控制流水灯亮的方式,另一个控制流水灯亮的频率。 当按下开关k0时,单片机产生有效信号从P2端口输出到led灯。Led灯根据相应的信号,灯亮的顺序发生相应变化。 当按下开关k3时,单片机产生有效信号从P2端口输出到led灯。Led灯根据相应的信号,灯亮的频率发生相应变化。(Water li
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-27
    • 文件大小:25600
    • 提供者:鱼鱼呢
  1. 至简设计法--流水灯

    0下载:
  2. LED流水广告灯 工程说明 在本案例中,使用常用的verilog语言完成该程序,设计并控制8个灯的花式或循环点亮;即上电后,实现左移和右移交替的流水灯。 案例补充说明 在FPGA电路设计中,尽管流水灯的设计属于比较简单的入门级应用,但是其运用到的方法,是FPGA设计中最核心和最常用部分之一,是FPGA设计必须牢固掌握的基础知识。从这一步开始,形成良好的设计习惯,写出整洁简洁的代码,对于FPGA设计师来说至关重要。(LED flow ad
  3. 所属分类:嵌入式/单片机编程

  1. 项目2:LED流水灯设计

    0下载:
  2. 单片机实用项目-LED流水灯设计,全套素材,代码完整,初学者适用(SCM practical project -LED water lamp design, a full set of materials, complete code, beginners applicable)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-27
    • 文件大小:345088
    • 提供者:sheepkiki
« 12 3 4 5 »

源码中国 www.ymcn.org