搜索资源列表

  1. pulse

    0下载:
  2. 本程序实现了一个信号发生器。此信号发生器是由两个完全相同的可自加载加法计数器LCNT8组成,它的输出信号的高低电平脉宽可分别由两组8位预置数进行控制。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1016
    • 提供者:liushenshen
  1. pulse

    0下载:
  2. 本程序实现了一个信号发生器。此信号发生器是由两个完全相同的可自加载加法计数器LCNT8组成,它的输出信号的高低电平脉宽可分别由两组8位预置数进行控制。-This procedure implements a signal generator. This signal generator is made up of two identical adder can be loaded from the counter LCNT8 compo
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-07-02
    • 文件大小:1024
    • 提供者:liushenshen
  1. lcnt8

    0下载:
  2. 这个是用VHDL实现的正负脉宽调制器,同样是对新手有帮助,高手不必看了。-This is achieved using VHDL positive and negative pulse width modulator, the same is to help novice, you do not have to read. Ha ha
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-02
    • 文件大小:174080
    • 提供者:张四全
  1. vhdl-complement

    0下载:
  2. vhdl交通灯控制电路实现,和LCNT8实现,程序为单进程,可读性好,技巧性高。-vhdl traffic light control circuit and LCNT8 achieve the program as a single process, readability skill.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-02
    • 文件大小:826368
    • 提供者:hubob

源码中国 www.ymcn.org