搜索资源列表

  1. LED显示屏控制技术实现.doc

    1下载:
  2. 所属分类:报告论文

    • 发布日期:2008-12-24
    • 文件大小:196096
    • 提供者:zhouxinjie1
  1. 基于FPGA的直接数字合成器设计

    0下载:
  2. 1、 利用FLEX10的片内RAM资源,根据DDS原理,设计产生正弦信号的各功能模块和顶层原理图; 2、 利用实验板上的TLC7259转换器,将1中得到的正弦信号,通过D/A转换,通过ME5534滤波后在示波器上观察; 3、 输出波形要求: 在输入时钟频率为16KHz时,输出正弦波分辨率达到1Hz; 在输入时钟频率为4MHz时,输出正弦波分辨率达到256Hz; 4、 通过RS232C通信,实现FPGA和PC机之间串行通信,从而实现用PC
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-08
    • 文件大小:21504
    • 提供者:竺玲玲
  1. FPGA

    0下载:
  2. SDRAM控制模块;图象采集系统说明性稳当;DSP图象采集系统。SDRAM作为存储器。-SDRAM control module image acquisition system illustrative trustworthy DSP image acquisition system. SDRAM as the memory.
  3. 所属分类:编程文档

    • 发布日期:2024-10-08
    • 文件大小:179200
    • 提供者:yan
  1. FPGA_signal_general

    0下载:
  2. 摘 要:介绍了直接数字频率合成 (DDS) 技术的基本原理,给出了基于Altera公司FPGA器件的一个三相正弦信号发生器的设计方案,同时给出了其软件程序和仿真结果。仿真结果表明:该方法生成的三相正弦信号具有对称性好、波形失真小、频率精度高等优点,且输出频率可调。 关键词:直接数字频率合成;现场可编程门阵列;FPGA;三相正弦信号-Abstract: Direct Digital Synthesis (DDS) technology
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-08
    • 文件大小:101376
    • 提供者:赵文
  1. FPGA

    0下载:
  2. FPGA,vhdl语言的学习资料; FPGA的简单设计 dds的设计-FPGA, vhdl language learning materials FPGA design of a simple design dds
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-08
    • 文件大小:2098176
    • 提供者:wade
  1. ddsdds

    0下载:
  2. 摘 要:介绍了直接数字频率合成 (DDS) 技术的基本原理,给出了基于Altera公司FPGA器件的一个三相正弦信号发生器的设计方案,同时给出了其软件程序和仿真结果。仿真结果表明:该方法生成的三相正弦信号具有对称性好、波形失真小、频率精度高等优点,且输出频率可调。关键词:直接数字频率合成;现场可编程门阵列;FPGA;三相正弦信号 (2009-01-04, VHDL, 99KB, 9次) -hgfhtht rrgtsrt rthg r
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-10-08
    • 文件大小:568320
    • 提供者:nbonwenli
  1. FPGA交通灯

    0下载:
  2. 设计一个简单自动控制的交通灯控制系统。具体要求,在道路十字路口的两个方向各设一组红绿指示灯,显示顺序为,其中一个方向是绿灯、黄灯、红灯,另一个方向是红灯、绿灯、黄灯;设置一组数码管,以倒计时的方式显示允许通过或禁止通过的时间,其中绿灯、黄灯、红灯的持续时间分别为80s/6s/40s。(Design a simple and automatic traffic light control system. The specific requ
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-08
    • 文件大小:1577984
    • 提供者:anbao
  1. FPGA自动售货

    0下载:
  2. 设计一个自动售货机控制系统。该系统能完成对货物信息的存储、进程控制硬币处理、余额计算、显示等功能。可以管理4种货物,每种货物的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行货物选择,售货时能够根据用户输入的货币来判断钱币是否足足够,钱币够则根据顾客要求自动售货,钱币不够则给出提示并退出;能够自动计算出应找的钱币余额、库存数量并显示。(Design a vending machine control syste
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-10-08
    • 文件大小:10240
    • 提供者:daolangliu
  1. EEPROM接口的FPGA实现

    0下载:
  2. EEPROM接口的FPGA实现 工程说明 AT93C46在DI接收到读指令时,地址被解码,数据在DO引脚上串行输出。写周期是完全自主调时的,在写入之前不需要单独的擦除周期。本项目要求AT93C46完成读和写功能的混合功能。 案例补充说明 本案例要求实现一个AT93C46的接口能够根据命令,实现EWEN、WRITE和READ功能,在这里我们提供了具体的设计思路: 1. 上游模块在rdy=1时,给出start命令,开始进行EW
  3. 所属分类:其他小程序

  1. xapp1257-multiboot-fallback-spi-flash

    0下载:
  2. 基于外部flah的FPGA多重启动程序,在应用程序启动失败时能自动返回到基础程序(A FPGA multiple startup program based on external flah that automatically returns to the underlying program when the application startup fails)
  3. 所属分类:VHDL编程

  1. 666基于FPGA的MVB2类设备控制器设计_幸柒荣

    0下载:
  2. 本文首先对多功能车辆总线的基本原理进行了简要的概述,接着对其实时协议进行了分析,然后对 MVB2 类设备控制器的功能及其功能模块的划分设计进行了详细的分析;最后对各功能模块进行了编程实现,并给出了仿真验证波形。(Firstly, the basic principle of the multifunction vehicle bus are briefly outlined, then analyze the real time pro
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-08
    • 文件大小:1090560
    • 提供者:梅赛德斯
  1. AD7266的Verilog驱动程序

    0下载:
  2. AD7266的Verilog驱动程序工程例子,用于FPGA(AD7266 Verilog driver project example, for FPGA)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-10-08
    • 文件大小:571392
    • 提供者:
  1. 基于FPGA的彩色符号设计

    0下载:
  2. a、设计可显示横彩条和纵彩条的VGA彩条信号; b、设计可显示英语字母的VGA彩条信号; c、设计可显示移动彩色斑点的VGA彩条信号; d、设计可实现手动切换a、b、c三个功能.(The design can display VGA color color and color of the longitudinal cross signal. The design can display the VGA color signal
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-08
    • 文件大小:435200
    • 提供者:ciuciuciu
  1. BeMicro MAX 10-schematic_a4-20141008

    0下载:
  2. MAX10 FPGA 官方开发板原理图(MAX10 FPGA Schematic diagram of official development board)
  3. 所属分类:其他小程序

  1. square_wave

    0下载:
  2. 使用FPGA的verilog语言生成方波调制波形(To generate square wave)
  3. 所属分类:其他小程序

    • 发布日期:2024-10-08
    • 文件大小:4018176
    • 提供者:2106k
  1. VHDL程序

    0下载:
  2. 利用QuartusⅡ6.0对所设计的出租车计费器的VHDL代码进行仿真,并在FPGA数字实验系统上实现了该控制。(The Quartus II 6 is used to simulate the VHDL code of the designed taxi billing device, and the control is realized on the FPGA digital experiment system.)
  3. 所属分类:VHDL编程

  1. No.201710061347=UART_Verilog

    0下载:
  2. 1.硬件平台: FPGA; 2.编程语言: Verilog; 3.串口通信RS232的Verilog实现版本;(1. hardware platform: FPGA; 2. programming language: Verilog; The Verilog implementation version of 3. serial port communication RS232;)
  3. 所属分类:VHDL编程

  1. 卷积码程序verilog

    0下载:
  2. 用Verilog语言在FPGA下实现卷积程序。(Convolution code utilite by verilog)
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-08
    • 文件大小:7168
    • 提供者:就随风
  1. FPGA-PID

    0下载:
  2. 基于FPGA设计的一个PID控制系统,完成对物体检测和运动控制;直流电机和步进电机驱动模块是可选的。(PID control system based on FPGA: Objection detection, movement control, motor driver is optional)
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-08
    • 文件大小:431104
    • 提供者:余平
  1. cymometer

    0下载:
  2. 使用XILINX公司出品FPGA开发板,基于verilog语言,实现简单的脉冲频率计功能;(The FPGA development board is produced by XILINX company, and a simple pulse frequency meter function is realized based on Verilog language.)
  3. 所属分类:嵌入式/单片机编程

« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org