搜索资源列表

  1. verilog3

    0下载:
  2. 用verilog语言编写的按键控制流水灯实验程序。通过3个按键可以分别控制流水灯的亮灭、左移、右移。压缩包内也包含此按键控制流水灯实验程序的modelsim仿真文件。-Verilog language with control buttons light water experimental procedure. By three buttons can control the light water lights off, left,
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-05
    • 文件大小:190464
    • 提供者:广子

源码中国 www.ymcn.org