搜索资源列表

  1. DigitalPLL

    0下载:
  2. 一篇简单易懂的关于数字锁相环概念原理设计的经典文章
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:249213
    • 提供者:林晓叶
  1. digitalPLL

    1下载:
  2. 数字锁相环实现源码,有很大的参考价值。 由 鉴相器 模K加减计数器 脉冲加减电路 同步建立侦察电路 模N分频器 构成.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2482
    • 提供者:sharny
  1. DigitalPLL

    0下载:
  2. 介绍数字锁相环的基本结构,详细分析基于FPGA的数字锁相环的鉴相器、环路滤波器、压控振荡器各部分的实现方法,并给出整个数字锁相环的实现原理图。仿真结果表明,分析合理,设计正确。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:432317
    • 提供者:萝卜
  1. DigitalPLL

    0下载:
  2. 一篇简单易懂的关于数字锁相环概念原理设计的经典文章-An easy-to-read digital phase-locked loop on the concept of the classic principles of design article
  3. 所属分类:文件格式

    • 发布日期:2024-07-02
    • 文件大小:248832
    • 提供者:林晓叶
  1. digitalPLL

    0下载:
  2. 数字锁相环实现源码,有很大的参考价值。 由 鉴相器 模K加减计数器 脉冲加减电路 同步建立侦察电路 模N分频器 构成.-DPLL realize source, has a great reference value. By the phase detector counter modulus K addition and subtraction circuit synchronous pulse addition and sub
  3. 所属分类:RFID编程

    • 发布日期:2024-07-02
    • 文件大小:2048
    • 提供者:sharny
  1. DigitalPLL

    0下载:
  2. 介绍数字锁相环的基本结构,详细分析基于FPGA的数字锁相环的鉴相器、环路滤波器、压控振荡器各部分的实现方法,并给出整个数字锁相环的实现原理图。仿真结果表明,分析合理,设计正确。
  3. 所属分类:软件工程

    • 发布日期:2024-07-02
    • 文件大小:432128
    • 提供者:萝卜

源码中国 www.ymcn.org