搜索资源列表

  1. DE0_NANO_GSensor

    0下载:
  2. 该代码利用DE0 nano上面的ADI ADXL345三轴重力传感器实现重力感应,根据偏转角度的不同点亮相应方向上面的LED灯,稍加修改,还能够将各个方向上面的重力加速度值实时显示,希望大家喜欢-The code used DE0 nano gravity above the ADI ADXL345 three-axis accelerometer sensors to achieve according to the deflecti
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-07
    • 文件大小:45056
    • 提供者:王国庆
  1. DE0_Nano_User_Manual_v1.5

    0下载:
  2. The DE0-Nano board introduces a compact-sized FPGA development platform suited for to a wide range of portable design projects, such as robots and mobile projects.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-07
    • 文件大小:7656448
    • 提供者:吴胜利
  1. DE0_NANO_default

    0下载:
  2. PWM波控制LED亮度,使用Verilog语言,开发环境为Altera的quatus 11,使用的的DE0-Nano-PWM wave control LED brightness, the use of the Verilog language development environment for Altera' s quatus 11, use the DE0-Nano
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-07-07
    • 文件大小:37888
    • 提供者:程巍
  1. Final_Tecnicas_plantilla

    0下载:
  2. DE0-NANO PSX decoder
  3. 所属分类:其他小程序

    • 发布日期:2024-07-07
    • 文件大小:94208
    • 提供者:Adrizcorp
  1. DE0_NANO_ADC

    0下载:
  2. Altera DE0-Nano 开发平台ADC模数转换应用官方DEMO。-Altera DE0-Nano development platform ADC analog-to-digital conversion applications official the DEMO.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-07
    • 文件大小:25600
    • 提供者:xuguangjun
  1. DE0_NANO_GSensor

    0下载:
  2. Altera DE0-Nano 开发平台Gsensor传感器应用官方Demo。-Altera DE0-Nano the development platform Gsensor sensor applications Official Demo.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-07
    • 文件大小:39936
    • 提供者:xuguangjun
  1. DE0_Nano_SOPC_DEMO

    0下载:
  2. Altera DE0-Nano 开发平台SOPC可编程片上系统实现官方Demo。-Altera DE0-Nano development platform the SOPC programmable on-chip system Official Demo.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-07
    • 文件大小:1144832
    • 提供者:xuguangjun
  1. DE0_NANO_default

    0下载:
  2. Altera DE0-Nano 开发平台点亮LED基本应用官方Demo。-Altera DE0-Nano development platform lit LED applications Official Demo.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-07
    • 文件大小:27648
    • 提供者:xuguangjun
  1. myfirst_niosii

    0下载:
  2. Altera DE0-Nano 开发平台NiosII软核处理器RSIC。-Altera DE0-Nano development platform NiosII the soft core processor RSIC.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-07
    • 文件大小:1105920
    • 提供者:xuguangjun
  1. vga

    0下载:
  2. VGA project for DE0-nano
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-07
    • 文件大小:8523776
    • 提供者:Sereja
  1. user_first_fpga_20170620

    0下载:
  2. 程序可实验开发板上LED循环点亮,且可通过按键控制流动速度,用到了PLL IP 和 计数器模块。(Program with LED flashing circuit uses PLL IP and counter. And extinction rate is controled by key.)
  3. 所属分类:VHDL编程

  1. DE0_Nano_User_Manual_v1.9

    0下载:
  2. DE0 Nano User Manual
  3. 所属分类:其他小程序

    • 发布日期:2024-07-07
    • 文件大小:11035648
    • 提供者:Awad
  1. DE0-Nano_My_First_Fpga_v1.0

    0下载:
  2. DE0-Nano My First Fpga
  3. 所属分类:其他小程序

    • 发布日期:2024-07-07
    • 文件大小:1468416
    • 提供者:Awad
  1. my_first_fpga

    0下载:
  2. DE0 NANO光盘附带的官方demo。使用者的第一个demo。(Official demo attached to DE0 NANO. The first demo of the user.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-07
    • 文件大小:62464
    • 提供者:方正
  1. Next186_SoC_DE0Nano_Quartus17.0_23Oct2017

    0下载:
  2. Next186 x86 for DE0-nano
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-07
    • 文件大小:1295360
    • 提供者:thefreak0815

源码中国 www.ymcn.org