搜索资源列表

  1. 8.6 DAC0832 接口电路程序

    0下载:
  2. 8.6 DAC0832 接口电路程序,DAC0832VHDL程序与仿真,功能:产生频率为762.9Hz的锯齿波(8.6 DAC0832 interface circuit program, DAC0832VHDL program and simulation, function: producing saw tooth wave with frequency of 762.9Hz)
  3. 所属分类:其他小程序

    • 发布日期:2024-07-05
    • 文件大小:3072
    • 提供者:少年高飞

源码中国 www.ymcn.org