搜索资源列表

  1. 硬件cpu&rom课程设计

    0下载:
  2. 这是有关cpu和存储器挂接的一个硬件课程设计,图片是用protel 99 se 画的,程序用唐都仪器调试通过,仅为一个理论性的东西。自己写的,请多指教。--It is a class written by me, which describes cpu and rom hardware design. The picture is drawn by protel 99 se. The program is passed on Tang
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-07-01
    • 文件大小:1722368
    • 提供者:丁宇
  1. CPU_design

    0下载:
  2. 一个简单指令的cpu设计。 可以实现4个指令的的运算。-a simple instructions cpu design. 4 can be achieved directive arithmetic.
  3. 所属分类:其他小程序

    • 发布日期:2024-07-01
    • 文件大小:181248
    • 提供者:刘永
  1. complexcpu_design

    0下载:
  2. 主要介绍一个很好的设计思想,介绍复杂cpu设计的框图。-introduces a very good design, introduced cpu design of complex diagram.
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-07-01
    • 文件大小:96256
    • 提供者:刘永
  1. MCUDesign

    0下载:
  2. 《Digital Logic And Microprocessor Design With VHDL》,CPU设计经典参考书-"Digital Logic And Microprocessor Design With VHDL, "CPU design classic reference books
  3. 所属分类:电子书籍

    • 发布日期:2024-07-01
    • 文件大小:4815872
    • 提供者:hanberg
  1. cpuTerminate

    1下载:
  2. 用VHDL 编写的一个16位的cpu 设计方案,可以执行8条指令。-use VHDL to prepare a 16 cpu design of the program, the implementation of eight instructions.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:2108416
    • 提供者:宋文强
  1. the-design-of-16-bit-cpu

    0下载:
  2. 用vhdl硬件语言设计的16位cpu,上传的压缩包既包含源代码又包含详细的文档说明。-with vhdl hardware design language of the 16 cpu, Upload compressed contains both the source code also contains a detailed document shows.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:128000
    • 提供者:晶晶
  1. cpupipeline

    0下载:
  2. CPU设计,加法器,乘法器,除法器等,有原理讲解等。挺不错的资料-CPU design, adders, multiplier, divider and so on and so have the principle. Very good information
  3. 所属分类:软件工程

    • 发布日期:2024-07-01
    • 文件大小:1864704
    • 提供者:李佳
  1. CPU

    0下载:
  2. 设计一个CPU的具体过程,包括实验目的,逻辑图-CPU design a specific process, including experimental purposes, the logic diagram
  3. 所属分类:软件工程

    • 发布日期:2024-07-01
    • 文件大小:14336
    • 提供者:ncf
  1. controlunit

    0下载:
  2. CPU设计中的controlunit源码,其中附带了时序仿真。通过Sequencing Logic 产生 control_signals,具体的信号可在controlsignal.mif文件中直接修改。 -CPU design controlunit source, which comes with timing simulation. Sequencing Logic generated through control_signals
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:328704
    • 提供者:ck
  1. CPU

    0下载:
  2. 简单的16位CPU的VHDL设计 vhdl代码和cpu设计过程-Simple 16-bit CPU design of the VHDL code and VHDL design process cpu
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:1488896
    • 提供者:kilva
  1. MyCPU16

    0下载:
  2. 16位cpu设计VHDL源码,其中包括alu,clock,memory等部分的设计-16 cpu design VHDL source code, including alu, clock, memory and other parts of the design
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:1089536
    • 提供者:孙冰
  1. 8-cpu

    0下载:
  2. 8位CPU的VHDL设计,16条指令系统,以及部分测试代码,开发工具是quartusii_60_pc-8-bit CPU of the VHDL design, 16 instruction, as well as some of the test code, development tools is quartusii_60_pc
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:3072
    • 提供者:FJ
  1. RiscCPU8

    0下载:
  2. 可综合的VerilogHDL设计实例: ---简化的RISC 8位CPU设计简介--- -VerilogHDL be integrated design example:--- simplified RISC 8 bit CPU design Introduction---
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:219136
    • 提供者:hulin
  1. CPU

    0下载:
  2. CPU 设计,不错的哦,顶一下哈,希望大家都弄成免费的-CPU design, good Oh, the top click Kazakhstan, I hope we all have to face free
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-07-01
    • 文件大小:2110464
    • 提供者:kukuyeyewa
  1. The_design_of_MIPS_CPU(VHDL)

    0下载:
  2. MIPS CPU设计实例的完整文档,台湾一个大学生的MIPS CPU完整设计文档,内附设计代码。-a complete document of MIPS CPU design , a Taiwan university students complete MIPS CPU design document, containing the design code.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:918528
    • 提供者:李皓
  1. cpu

    0下载:
  2. 基于MIPS指令集的32位CPU设计与VHDL实现-Based on the MIPS instruction set of the 32-bit CPU design and the realization of VHDL
  3. 所属分类:其他小程序

    • 发布日期:2024-07-01
    • 文件大小:10553344
    • 提供者:gy
  1. CPU

    0下载:
  2. 八位简单risc cpu 设计的源代码,VHDL语言写的-8 Simple risc cpu design source code, VHDL language written
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:215040
    • 提供者:yishi
  1. CPU

    0下载:
  2. 利用VHDL语言 开发设计一个小型CPU -Development and design using VHDL, a small CPU
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-07-01
    • 文件大小:201728
    • 提供者:隐士
  1. CPU

    0下载:
  2. 一个完整的流水CPU设计,quartus平台,Verilog实现-CPU design a complete water, quartus platform, Verilog realization
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:1100800
    • 提供者:
  1. CPU

    0下载:
  2. 一个多周期CPU的完整设计,quartus平台,Verilog实现,内含实验报告,和详细的各模块功能表-Complete a multi-cycle CPU design, quartus platform, Verilog implementation, includes lab reports, and a detailed menu of each module
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:6606848
    • 提供者:
« 12 3 4 5 6 7 8 9 10 ... 37 »

源码中国 www.ymcn.org