搜索资源列表

  1. CIC滤波器的补偿

    0下载:
  2. CIC滤波器的补偿,适用于抽取内插滤波器的设计
  3. 所属分类:其它

    • 发布日期:2010-01-06
    • 文件大小:2031
    • 提供者:lwy_xd@163.com
  1. cic

    1下载:
  2. 个人编写的关于积梳状滤波器的程序,用于抽取和插值时防止信号失真.-individuals prepared on the plot comb filter procedures for taking and the interpolation to prevent signal distortion.
  3. 所属分类:matlab例程

    • 发布日期:2024-09-29
    • 文件大小:1024
    • 提供者:zj
  1. cic

    0下载:
  2. verilog码写的CIC滤波器的程序,包括4倍抽取CIC滤波器和内插的CIC滤波器两个-Verilog code written by CIC filter procedures, including 4 times the extraction CIC filter and the CIC interpolation filter two
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-29
    • 文件大小:22528
    • 提供者:桃子
  1. cic_4_dec

    0下载:
  2. 实现4倍抽取的CIC抽取滤波器模块的Verilog实现,在对数据进行抽取之前,首先进行滤波-Extracted 4 times realize CIC decimation filter module Verilog realize that in the data collected before the first filter
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-29
    • 文件大小:1024
    • 提供者:楚鹤
  1. cic512

    0下载:
  2. 5阶cic滤波器,抽取12倍,的verilog程序,已经通过仿真验证,一、具有很高的速率-5-order CIC filter, collected 12 times the Verilog procedures are by simulation, one with a very high rate
  3. 所属分类:书籍源码

    • 发布日期:2024-09-29
    • 文件大小:1024
    • 提供者:xiebin
  1. CIC

    0下载:
  2. 关于一个滤波器的程序,三级CIC抽取的源程序。-On a filter process, the three-tier CIC samples of the source.
  3. 所属分类:matlab例程

    • 发布日期:2024-09-29
    • 文件大小:1024
    • 提供者:王建龙
  1. cic3_decimator

    0下载:
  2. 积分梳状滤波器,CIC设计,三级CIC抽取器实例:cic3_decimator.V module cic3_decimator(clk, x_in, y_out)-cic
  3. 所属分类:matlab例程

    • 发布日期:2024-09-29
    • 文件大小:1024
    • 提供者:bighen
  1. CIC_deci4

    0下载:
  2. cic抽取滤波器ip核,用于射频采样数字下变频模块的核心数字信号处理部分.此ip核已经过ise10.2验证-CIC decimation by 4 filter,used in Direct RF sampling of GPS signal. the core dsp block in a frondend design
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-29
    • 文件大小:31744
    • 提供者:mimidabuda
  1. cic

    2下载:
  2. 当前工程上广泛采用了一种高效滤波器,即CIC(cascaded integrator-comb filter)将其作为第一级来实现抽取、低通滤波。第二级再用一个普通的FIR滤波器就实现使后端设备工作在较低的频率下且硬件花销少、功耗也很低。-Widely used in the current project, a highly efficient filter, that is, CIC (cascaded integrator-com
  3. 所属分类:matlab例程

    • 发布日期:2024-09-29
    • 文件大小:63488
    • 提供者:王奎
  1. CIC8_fir_comp_mlab

    0下载:
  2. CIC抽取补偿滤波器设计,CIC滤波器采用5阶8倍抽取。-CIC compensation filter design samples, CIC filter order of 8 times 5 samples.
  3. 所属分类:DSP编程

    • 发布日期:2024-09-29
    • 文件大小:2048
    • 提供者:42200306
  1. CIC4_fir_comp_mlab

    0下载:
  2. CIC抽取补偿滤波器设计,CIC滤波器采用5阶4倍抽取。-CIC compensation filter design samples, CIC filter order 4 times using 5 samples.
  3. 所属分类:DSP编程

    • 发布日期:2024-09-29
    • 文件大小:2048
    • 提供者:42200306
  1. CIC_DEC

    0下载:
  2. CIC抽取滤波器设计,CIC滤波器采用5阶8倍抽取。-CIC decimation filter design, CIC filter order of 8 times 5 samples.
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-29
    • 文件大小:1024
    • 提供者:42200306
  1. CIC_DEC_3

    0下载:
  2. CIC抽取滤波器设计,CIC滤波器采用5阶3倍抽取。-CIC decimation filter design, CIC filter order 3 times 5 samples.
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-29
    • 文件大小:1024
    • 提供者:42200306
  1. CIC_DEC_4

    0下载:
  2. CIC抽取滤波器设计,CIC滤波器采用5阶4倍抽取。-CIC decimation filter design, CIC filter order 4 times using 5 samples.
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-29
    • 文件大小:1024
    • 提供者:42200306
  1. CIC_DEC_6

    0下载:
  2. CIC抽取滤波器设计,CIC滤波器采用5阶6倍抽取。-CIC decimation filter design, CIC filter stage 6 times 5 samples.
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-29
    • 文件大小:1024
    • 提供者:42200306
  1. cic_fpga

    0下载:
  2. CIC抽取滤波器的改进及其FPGA的实现.pdf-cic _fpga
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-29
    • 文件大小:166912
    • 提供者:朱柏
  1. cic_dec_8_five

    0下载:
  2. CIC抽取滤波器,抽取系数8,verilog版本,用于数字下变频-CIC decimation filter, extraction coefficient of 8, verilog version, for digital down-conversion
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-29
    • 文件大小:1024
    • 提供者:王刚
  1. CIC

    0下载:
  2. 3级CIC抽取,内插滤波,r为抽取因子,n为原始信号的采样点数,x为原始信号序列 y为抽取滤波后的输出序列-3 CIC decimation, interpolation filter, r for the extraction factor, n the sampling points for the original signal, x is the original signal sequence y to extract the
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-09-29
    • 文件大小:1024
    • 提供者:wangsha
  1. cic

    0下载:
  2. 在信号处理中,信号发射时,信号通过载波,调制,以电磁波的形式发射出来 在接收端,射频信号通过天线接收,超外差式等方式进行处理,变频到中频 然后通过数字技术对中频信号进行处理,此时的中频信号采样率很高,多达几十兆赫 而要解调出来的信号很小,此时就要经过滤波来得到我们需要的信号 如果直接设计滤波器,阶数会非常庞大,软件,硬件设备都承受不了 因此,一般常用的技术是进行下变频处理,其主要技术就是通过抽取滤波进行下变频 常
  3. 所属分类:matlab例程

    • 发布日期:2024-09-29
    • 文件大小:1024
    • 提供者:wangheyi
  1. cic

    0下载:
  2. CIC积分梳状滤波器的程序、是生成五级CIC抽取器:cic3_decimator.V-CIC CIC filter program, is to generate five CIC decimator: cic3_decimator.V
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-29
    • 文件大小:1024
    • 提供者:笙箫
« 12 3 4 5 »

源码中国 www.ymcn.org