搜索资源列表

  1. Verilog教程

    0下载:
  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要
  3. 所属分类:电子书籍

    • 发布日期:2009-06-09
    • 文件大小:4169233
    • 提供者:mayzhao
  1. mealy_machine

    0下载:
  2. mealy_machine该代码为序列脉冲检测器当输入信号110时电路输出为1否则为0-mealy_machine the code sequence when the input signal pulse detector circuit 110 output is 1 0 otherwise
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-12
    • 文件大小:304128
    • 提供者:bianyuan
  1. state

    0下载:
  2. 实现的是序列检测器,给出输入序列,并进行检验,如果满足连续为110011101则输出为1-To achieve the sequence detector, given the input sequence, and tested, if the continuous output of 1 to 110,011,101
  3. 所属分类:软件工程

    • 发布日期:2024-10-12
    • 文件大小:1024
    • 提供者:wangwei
  1. seq_detector

    0下载:
  2. 3比特的任意二值序列检测器(例如101、110、001等)。从任意序列中检测出三比特的序列。包含VHDL源码以及testbench测试源码程序。-The 3-bit binary sequence of any detector (e.g., 101,110,001, etc.). A three-bit sequence is detected from an arbitrary sequence. Includes VHDL sou
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-12
    • 文件大小:1024
    • 提供者:10086
  1. 110序列检测器

    0下载:
  2. 110的序列检测器,添加了使能端检查其正确性(The sequence detector of 110 adds the enable end to check its correctness.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-12
    • 文件大小:191488
    • 提供者:New2018

源码中国 www.ymcn.org