搜索资源列表

  1. AVR学习笔记

    0下载:
  2. 本实验包括七个例程:1、点亮LED试验,2、流水灯试验,3、蜂鸣器试验,4、1位数码管显示试验,5、四位数码管显示试验,6、1602液晶显示试验,7、12864液晶显示实验。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2009-03-04
    • 文件大小:327870
    • 提供者:tiankai
  1. delay

    0下载:
  2. 89S52 单片机的P1 口接有 8个 LED,当某一端口输出为“0”时, 相应的 LED 点亮,P3.2、P3.3、P3.4、P3.5 分别接有四个按钮 K1~K4,按下按钮时,相应 引脚被接地。现要求编写可键控的流水灯程序,当 K1 按下时,开始流动,K2 按下时停止 流动,全部灯灭,K3 使灯由上往下流动,K4 使灯由下往上流动。 -P1 port access 89S52 microcontroller with 8
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-09-28
    • 文件大小:1024
    • 提供者:chenhongge
  1. led-water

    0下载:
  2. 流水灯程序,时钟频率为50MHz,控制四个led向同一个方向移动,如流水一样。没建个0.5s点亮一个灯,使灯亮朝一个方向移动-Water lights, clock frequency of 50MHz, control four led moving in the same direction, like water, like. Did not build a 0.5s lit a lamp, the lamp lights mov
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-28
    • 文件大小:3131392
    • 提供者:吴琼
  1. led

    0下载:
  2. FPGA做的led流水灯,quartus搭的nios,计时器每隔一秒led点亮一次,四个流水灯循环显示,适合新手学习-FPGA do led light water, quartus ride nios, timer once every second led lights, four light water cycle, for beginners to learn
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-28
    • 文件大小:14083072
    • 提供者:勇磊
  1. leds_newversion

    0下载:
  2. 花样流水灯驱动,将展现的是十种花样,其实就是有十个自定义ioctr控制参数构成。分别是: 一、1个led亮(可指定led) IOCTL_LED_ON 二、1个led灭(可指定led) IOCTL_LED_OFF 三、1->4跑马灯(依次顺序点亮) - IOCTL_LED_RUN 四、4个led 全闪烁 - IOCTL_LED_SHINE 五、4个led全亮 - IOCTL_LED_ALLON 六、
  3. 所属分类:Linux驱动

    • 发布日期:2024-09-28
    • 文件大小:17408
    • 提供者:zimao
  1. water light

    0下载:
  2. 点亮gokit v2.1的四个流水灯。适合初学者(Light up four gokit v2.1 water lights. Suitable for beginners)
  3. 所属分类:Windows编程

    • 发布日期:2024-09-28
    • 文件大小:5891072
    • 提供者:处子座ZC
  1. 2 点亮四个LED灯

    0下载:
  2. 从点亮第一个二极管开始,到对流水灯的控制,熟悉简单的编程(Light from the first diode, to the flow of light control, familiar with simple programming)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-09-28
    • 文件大小:75776
    • 提供者:夏木清风
  1. led

    0下载:
  2. 流水灯实验,实现四位流水灯功能 本次的设计主要是一个简单流水灯驱动程序,要求流水灯模式 如下:(1)复位时,灯全部熄灭。(2)复位按键放开时,首先点亮 第一个灯,然后第一个 灯熄灭,同时点亮第二个灯;接着,第二个 灯熄灭,同时点亮第三个灯;再然后,第三个灯熄灭,同时点亮第四 个灯;最后,第四个灯熄灭,同时点亮第一个灯;如此循环往复,实 现流水。(Running water lamp experiment to realize
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-28
    • 文件大小:2577408
    • 提供者:小猪仔521

源码中国 www.ymcn.org