搜索资源列表

  1. 示例(vhdl)

    1下载:
  2. vhdl 实例 通过实例学习vhdl 编程-VHDL examples examples to learn VHDL programming
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:78076
    • 提供者:joan
  1. VHDL-vga_core(vhdl)

    0下载:
  2. VHDL-vga_core(vhdl).rar FPGA上实现 VGA的IP(VHDL)
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:458838
    • 提供者:nanotalk
  1. 密码锁(VHDL)

    0下载:
  2. 有关EDA中VHDL编写的密码锁。。效果不错
  3. 所属分类:其它

  1. 求最大公因数(vhdl)

    0下载:
  2. 利用fpga设计一个系统求两个数的最大公因数。数字系统设计:控制路径和数据路径。
  3. 所属分类:VHDL编程

    • 发布日期:2009-11-20
    • 文件大小:356508
    • 提供者:youdns
  1. CPLD的串口程序(VHDL)

    0下载:
  2. 在CPLD上实现UART,利用VHDL进行编程。

  3. 所属分类:系统编程

    • 发布日期:2009-01-03
    • 文件大小:746970
    • 提供者:greatlht
  1. FPGA的FFT源程序(VHDL)

    1下载:
  2. 采用 VHDL 语言编写 FPGA 上实现 FFT 源程序
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2009-03-11
    • 文件大小:391506
    • 提供者:sun7784@126.com
  1. VHDL语言100例(普通下载)

    2下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第1
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. 电机pid的vhdl代码

    0下载:
  2. 《直流步进电机控制器实例(VHDL源代码)
  3. 所属分类:源码下载

    • 发布日期:2011-05-04
    • 文件大小:4281
    • 提供者:supzct
  1. 8051IP 核源代码(VHDL)

    0下载:
  2. 8051IP 核源代码-8051IP nuclear source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:22528
    • 提供者:周辉
  1. random data gen(vhdl)

    0下载:
  2. 任意数据发生器的源代码-arbitrary data source code generator
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:97280
    • 提供者:王锋
  1. key_scan

    0下载:
  2. 程序主要是用硬件描述语言(VHDL)实现: 4*4键盘扫描,简洁明了,通俗易懂,比较适合VHDL初学者-procedure was used in hardware descr iption language (VHDL) to achieve : 4* 4 keyboard scan, concise, easily understood and more suitable for beginners VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:308224
    • 提供者:刘赛
  1. mcs_51_cpld

    0下载:
  2. 程序主要用硬件描述语言(VHDL)实现: 单片机与FPGA接口通信的问题-procedures major hardware descr iption language (VHDL) to achieve : MCU and FPGA interface communication problems
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:150528
    • 提供者:刘赛
  1. 除法器

    0下载:
  2. 通过用硬件描述语言(VHDL)描述除法器,并进行模拟验证,加深对二进制数运算方法的理解。 设计平台:MaxPlusII 压缩文件内有详细设计报告 -by using Hardware Descr iption Language (VHDL) Descr iption division, and conduct simulation shows that the binary number deepen understandi
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:50176
    • 提供者:johnmad
  1. 示例(vhdl)

    0下载:
  2. vhdl 实例 通过实例学习vhdl 编程-VHDL examples examples to learn VHDL programming
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:77824
    • 提供者:joan
  1. USB控制器VHDL程序

    0下载:
  2. USB控制器VHDL程(usb_xilinx_vhdl),用XILINX公司的FPGA实现-VHDL-USB controller (usb_xilinx_vhdl) XILINX FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:60416
    • 提供者:夏社
  1. vhdl实例-完整微处理器系统模型

    0下载:
  2. vhdl实例-完整微处理器(cpu)系统模型 -VHDL-integrity microprocessor (CPU) system model
  3. 所属分类:其他小程序

    • 发布日期:2024-06-30
    • 文件大小:2048
    • 提供者:张洪
  1. VHDL-vga_core(vhdl)

    0下载:
  2. VHDL-vga_core(vhdl).rar FPGA上实现 VGA的IP(VHDL)-VHDL-vga_core (vhdl). RarFPGA realize VGA on the IP (VHDL)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:458752
    • 提供者:nanotalk
  1. vga_core(vhdl)

    0下载:
  2. vga视频输出(vhdl),主要是从sdram中产生图形,输出到vga中-vga video outputs [vhdl], mainly arising from the SDRAM graphics, output to vga Medium
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:459776
    • 提供者:程荣
  1. VHDL

    0下载:
  2. 双口RAM模块源代码(VHDL),用于开发FPGA的双口RAM,可以直接下载到工程中使用。-Dual-port RAM module source code (VHDL), for the development of FPGA' s dual-port RAM, can be directly downloaded to the project use.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:1024
    • 提供者:wu
  1. 2FSK调制解调的FPGA实现(VHDL)

    0下载:
  2. 2FSK调制解调的FPGA设计,基于XINLINX的ISE平台开发,采用VHDL语言设计,有设计文档,欢迎学习借鉴(The FPGA design of 2FSK modulation and demodulation, based on the ISE platform of xinlinx, is designed with VHDL language, with design documents, welcome to learn
  3. 所属分类:VHDL编程

« 12 3 4 5 6 7 8 9 10 ... 46 »

源码中国 www.ymcn.org