搜索资源列表

  1. atm.rar

    0下载:
  2. 课程设计:ATM Project源码,有四个独立程序,银行端,货物公司端,ATM取款机端,自动售货机端,应该可以算四个,还有一个VC写的配置ODBC的源吗,另外,请求一个帐号用于下载源吗
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-10-01
    • 文件大小:1357372
    • 提供者:
  1. 饮料自动售货机模拟程序

    0下载:
  2. 该软件可为课程设计做参考,希望各位大侠支持~~!!!3X-the software to design courses for reference, I hope heroes support ~ ~! ! ! 3X
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:390116
    • 提供者:随风
  1. FPGA_27eg

    0下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. vendor

    0下载:
  2. 此程序设计的自动售货机只销售两种罐装可乐,售价均为15元。顾客可以由两个不同投币孔分别投入3个五元硬币或2个10元硬币。一次交易只能买一罐,且自动找零。只要按下取消键就会马上无条件退币。-this procedure designed vending machine sales only two canned cola, both at 15 yuan. Customers can from two different coin Kon
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:178240
    • 提供者:刘卫
  1. atm

    0下载:
  2. 课程设计:ATM Project源码,有四个独立程序,银行端,货物公司端,ATM取款机端,自动售货机端,应该可以算四个,还有一个VC写的配置ODBC的源吗,另外,请求一个帐号用于下载源吗-Course Design: ATM Project source, there are four independent procedures, the bank client, goods company client, ATM client te
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-10-01
    • 文件大小:1357824
    • 提供者:吴俊
  1. vendor

    0下载:
  2. 此程序设计的自动售货机只销售两种罐装可乐,售价均为15元。顾客可以由两个不同投币孔分别投入3个五元硬币或2个10元硬币。一次交易只能买一罐,且自动找零。只要按下取消键就会马上无条件退币。-this procedure designed vending machine sales only two canned cola, both at 15 yuan. Customers can from two different coin Kon
  3. 所属分类:其他小程序

    • 发布日期:2024-10-01
    • 文件大小:178176
    • 提供者:刘卫
  1. 饮料自动售货机模拟程序

    0下载:
  2. 该软件可为课程设计做参考,希望各位大侠支持~~!!!3X-the software to design courses for reference, I hope heroes support ~ ~! ! ! 3X
  3. 所属分类:其他小程序

    • 发布日期:2024-10-01
    • 文件大小:390144
    • 提供者:随风
  1. FPGA_27eg

    0下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调
  3. 所属分类:文件格式

    • 发布日期:2024-10-01
    • 文件大小:1278976
    • 提供者:
  1. +VHDL

    0下载:
  2. 很详细用VHDL写的自动售货机程序有详细的说明和设计要求实现功能-Very detailed written using VHDL vending machine procedure is described in detail and design requirements for the realization of function
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-01
    • 文件大小:3072
    • 提供者:linhua
  1. chengxu

    1下载:
  2. 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-01
    • 文件大小:1277952
    • 提供者:chenjy
  1. vhdl

    0下载:
  2. 找到的一个自动售货机的vhdl程序,关于eda的设计有没有最新的一些说明之类的饿-The vendor 1 of source code . vendor can sell 3 kinds of merchandise: The Hamburg and 1 Yuan of hot dog wrap 2 Yuan and double-deck Hamburg 3 Yuan. It is infinite to set up quanti
  3. 所属分类:文件格式

    • 发布日期:2024-10-01
    • 文件大小:61440
    • 提供者:myth
  1. Automachine_project

    0下载:
  2. verilog 语言写的自动售货机程序,系IC课程设计代码,QUARTUS -verilog language written in a vending machine program, the Department of IC curriculum design code, QUARTUS II
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-01
    • 文件大小:418816
    • 提供者:Zeng jinqiang
  1. HDL

    0下载:
  2. Vrilog HDL 语言设计的关于自动售货机的程序论文,详细精简,功能实现的效果很好-Vrilog HDL language design process on paper vending machine, more streamlined, functional to achieve good results
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-01
    • 文件大小:343040
    • 提供者:张黎
  1. FPGA-based-design-vending-machines

    0下载:
  2. 本系统采用了Altera的开发软件的Quarts II。该软件平台进行数字电路设计和仿真的方法;阐述了VHDL(Very High Speed Integrated Circuit Hardware Descr iption Language)语言的一些特点及语法结构;介绍了自动售货机系统的基本原理、系统组成和主要功能;并分析讨论了用VHDL语言开发自动售货机系统的设计流程。本设计利用Altera公司的开发软件Quartus II平台,
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-01
    • 文件大小:218112
    • 提供者:
  1. Perfect-VHDL

    0下载:
  2. 1 步进电机定位控制系统VHDL程序与仿真 2 采用等精度测频原理的频率计程序与仿真 3 URAT VHDL程序与仿真 4 自动售货机VHDL程序与仿真 5 电子琴程序设计与仿真 6 出租车计价器VHDL程序与仿真 7 DAC0832 接口电路程序 8 FSK调制与解调VHDL程序及仿真 -1stepper motor positioning control system for VHDL procedu
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-01
    • 文件大小:1313792
    • 提供者:liu
  1. 1000710326

    0下载:
  2. 3.编写一个程序模拟自动售货机。可以通过向售货机中投入硬币来购买商品。用户从售货机商品列表选择某一商品并投入硬币。如果金额足够,则提供相应商品;如果金额不够,或者该商品已售完,则将硬币退回。维护售货机的工作人员可以为其添加商品或者取走售货款项。利用CRC卡片设计类和类中的方法,同时给出UML图并实现该程序-3. Write a program to simulate the vending machine. Can pass to th
  3. 所属分类:汇编语言

    • 发布日期:2024-10-01
    • 文件大小:2048
    • 提供者:xu ming le
  1. ExampleFrame

    0下载:
  2. 自动售货机模拟程序,java小程序,用于课程设计有效-Vending machine simulation program, java applet for curriculum design
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-10-01
    • 文件大小:2048
    • 提供者:bingo
  1. Vending-Program

    0下载:
  2. 基于51单片机的自动售货机程序(含设计报告及相关资料),STC89C52 , Keil uVision3 ,硬币识别及累加显示,单片机控制四路舵机出货。-51 MCU-based vending machine program (including design report and related materials), STC89C52, Keil uVision3, coin recognition and incremental
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-10-01
    • 文件大小:311296
    • 提供者:
  1. 程序

    0下载:
  2. 功能介绍: 使用STC89C52(STC89C52数据手册)单片机设计,设有8个按键,3个按键模拟硬币(5元、1元、5角),另外5个按键模拟货物选择,一块12864显示屏。 步骤: 1.开机启动显示货物价格列表,自动切换列表。 2.可以选择①—⑤种货物(或更多)。 3.按对应按键可选择该货物。 4.选择后,提示要投进的金额,并显示投币有效时间30秒(可修改)。 5.按键模拟投币,有5元、1元、5
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-10-01
    • 文件大小:56320
    • 提供者:哈哈1211
  1. 1自动售货机

    1下载:
  2. 基于单片机的自动售货机设计,采用STC89C51单片机(The design of vending machine based on single-chip computer and STC89C51 single-chip computer)
  3. 所属分类:其他小程序

    • 发布日期:2019-04-18
    • 文件大小:14555136
    • 提供者:123045
« 12 »

源码中国 www.ymcn.org