搜索资源列表

  1. hotel-system

    0下载:
  2. VFP的毕业设计,交换C++的毕业设计(饮料自动售货机系统)-VFP graduation design, the exchange of C graduate design (beverage vending machine system)
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:372712
    • 提供者:wu
  1. Sheriff_AutoSale

    1下载:
  2. 自动售药系统,类似自动售货机系统,触摸屏模式:VB6.0+ACCESS+windows2000;-vending medicine system, similar vending machine systems and touch-screen mode : ACCESS VB6.0 by Windows;
  3. 所属分类:数据库编程

    • 发布日期:2008-10-13
    • 文件大小:5614032
    • 提供者:sheriffyang
  1. 自动售货机系统---自动售货机.rar

    1下载:
  2. 自动售货机系统---自动售货机.rar。。。。。。。。。。。。。。。
  3. 所属分类:行业应用软件

    • 发布日期:2012-09-01
    • 文件大小:224857
    • 提供者:p08170082
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-03
    • 文件大小:44032
    • 提供者:senkong
  1. Sheriff_AutoSale

    0下载:
  2. 自动售药系统,类似自动售货机系统,触摸屏模式:VB6.0+ACCESS+windows2000;-vending medicine system, similar vending machine systems and touch-screen mode : ACCESS VB6.0 by Windows;
  3. 所属分类:医药行业

    • 发布日期:2024-10-03
    • 文件大小:5613568
    • 提供者:sheriffyang
  1. ddddQUA

    0下载:
  2. 这部分阐述了自动售货机的各种动作功能和控制要求,给出了完整的自动售货机操作规程,并介绍了自动售货机运行系统种所包括的人工操作步骤-This section sets out a variety of vending machine motor function and control requirements, given a complete vending machine operation, and introduce a ven
  3. 所属分类:软件工程

    • 发布日期:2024-10-03
    • 文件大小:382976
    • 提供者:陆志平
  1. Autoserving

    0下载:
  2. 自动售货机的内部嵌入式系统。其功能是提供人机交互的界面;计算用户所选商品的总价;验证账户的合法性;与银行通信以保持用户账户的一致性;管理本地商品数据库。-效肖 露 袊袣蝎 禄褏禄 褗渭袛袛歇袉褩袟 露 袠谢袣袇袩渭袧褨袔袌袞写 鈩?| 袛鞋袣袟袦斜 鈩?漏 袠袥 禄褗袇禄 禄覑渭袛袇蟹袚卸袌禄 褬袞袥谐校袚 禄搂 袥褖小袔袡袦袞 渭袛效鞋褬蝎袌禄 小泄笑 陇 啸袥 禄搂 渭袛褦袩 衼袪肖袌禄 校谢孝褕袪袪袧衼袪袝孝肖 卤 袌褨笑校袚 禄
  3. 所属分类:ICQ/即时通讯

    • 发布日期:2024-10-03
    • 文件大小:45056
    • 提供者:王先生
  1. autoseller

    0下载:
  2. (1)、自动售货机可以出售4种货物,每种商品的数量和单价在初始化时设定,并存储在存储器中; (2)、采用模拟开关分别模拟5角和一元的硬币进行购物,并通过按键来选择商品; (3)、系统能够根据用户输入的硬币,判断钱币是否够,当所投硬币达到或超过购买者所选面值时,则根据顾客要求自动售货,并找回剩余的硬币,然后回到初始状态。当所投硬币不够时,则给出提示,并通过一个复位键退回所投硬币,然后回到初始状态。 -(1), vending
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-03
    • 文件大小:3072
    • 提供者:秦发斌
  1. AutomatSystemRequirementSpecification

    0下载:
  2. 软件工程项目小组的自动售货机系统需求规格说明书。-Software engineering project team vending machine system requirements specification.
  3. 所属分类:软件工程

    • 发布日期:2024-10-03
    • 文件大小:559104
    • 提供者:baby
  1. AutomatSystemRequirementSpecification

    0下载:
  2. 课程软件小组自动售货机系统需求分析初稿,供大家分享-Course software group vending machine system the preliminary needs analysis for everyone to share
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-10-03
    • 文件大小:25600
    • 提供者:baby
  1. shop

    0下载:
  2. 自动售货机控制系统,具有对货物信息的存储,进程控制,硬币处理,余额计算和显示等功能。-Vending machine control system, with information on goods store, process control, coin processing, the balance of the calculation and display functions.
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-03
    • 文件大小:2048
    • 提供者:dong
  1. umldrink

    0下载:
  2. 基于UML的饮料自动售货机系统设计该设计模拟自动售货机的工作原理和方式,完成出售商品、接收钱币、找零钱等功能。 与系统交涉的人有两类:送货员和顾客。 -UML-based system design beverage vending machine vending machine simulation of the design principles and methods of work, completed the sale
  3. 所属分类:SQL Server数据库

    • 发布日期:2024-10-03
    • 文件大小:2494464
    • 提供者:feng
  1. Autoseller

    0下载:
  2. 基于VHDL开发的自动售货机系统,可实现自动售货过程中的基本功能,具有一定的代表性。-VHDL-based development of a vending machine system which can automatically process the basic functions of sales, with a certain representativeness.
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-03
    • 文件大小:5120
    • 提供者:Joseph
  1. zdshj

    0下载:
  2. 自动售货机控制系统设计 要求: 设计制作一个自动售货机控制系统。 该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能。 该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行选择。 系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出。 系统自动的计算出应找钱币余额、库存数量并显示。 -Vendin
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-10-03
    • 文件大小:67584
    • 提供者:dws
  1. Cplus

    0下载:
  2. 常用C++源码集合,包括闹钟、位图转化、学生成绩管理系统、人脸检测系统、ping系统、自动关机系统、Txt文件转换、超级玛丽、工资管理系统、BIOS操作、中国象棋、自动售货机等等。 -Common C++ source code collections, including the alarm clock, bitmap conversion, student achievement management system, human f
  3. 所属分类:图形图象

    • 发布日期:2024-10-03
    • 文件大小:7953408
    • 提供者:conroy cheung
  1. Codejia.com_586ab1766e2b4c702140df55f6e51c1f

    0下载:
  2. 自动售货机系统, 希望对大家有帮助-Vending machine system, we want to help
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-10-03
    • 文件大小:4540416
    • 提供者:李辉
  1. zidongshouhuojisheji

    0下载:
  2. 本文采用Verilog HDL描述语言实现自动售货机系统的销售动作,用有限状态机进行系统状态描述,自动售货机通电复位时,自动进入系统初始状态,本文设计的自动售货机控制系统主要可以实现投币处理、计算投币总额、输出商品,输出找零、余额计算并显示等功能。-This verilog hdl describe language used for automatic machines system of action, with a limited
  3. 所属分类:VHDL编程

    • 发布日期:2024-10-03
    • 文件大小:34816
    • 提供者:高菲悦
  1. VendingMachine

    0下载:
  2. 本文详细介绍了自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。该系统以单片机AT89C51芯片为核心,采用集中控制方式实现了对自动售货机全过程的自动控制。-Vend Machine
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-10-03
    • 文件大小:1517568
    • 提供者:Jackie Liang
  1. FPGA自动售货

    0下载:
  2. 设计一个自动售货机控制系统。该系统能完成对货物信息的存储、进程控制硬币处理、余额计算、显示等功能。可以管理4种货物,每种货物的数量和单价在初始化时输入,在存储器中存储。用户可以用硬币进行购物,按键进行货物选择,售货时能够根据用户输入的货币来判断钱币是否足足够,钱币够则根据顾客要求自动售货,钱币不够则给出提示并退出;能够自动计算出应找的钱币余额、库存数量并显示。(Design a vending machine control syste
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-10-03
    • 文件大小:10240
    • 提供者:daolangliu
  1. 自动售货机系统

    1下载:
  2. 该自动售货系统的功能可分为两类。对于管理员,可建立库存信息,查询商品销售量及销售总额;而对于消费者,可查询商品信息,购买商品等主要功能。(The function of the vending system can be divided into two categories. For the administrator, the inventory information can be established, the sales v
  3. 所属分类:其他小程序

    • 发布日期:2024-10-03
    • 文件大小:2048
    • 提供者:qwerf
« 12 3 4 »

源码中国 www.ymcn.org