搜索资源列表

  1. muxplusii --vhdl 经典程序

    0下载:
  2. 用VHDL编写的数字时钟,可变宽度脉冲产生器-prepared using VHDL digital clock, Variable width pulse generator, etc.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:8965
    • 提供者:vhdp
  1. muxplusii --vhdl 经典程序

    0下载:
  2. 用VHDL编写的数字时钟,可变宽度脉冲产生器-prepared using VHDL digital clock, Variable width pulse generator, etc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-06
    • 文件大小:8192
    • 提供者:vhdp
  1. motor_control

    0下载:
  2. 运动控制:利用单片机的定时器中断来产生脉冲,控制步进电机-Motion Control: Using single-chip timer interrupt to generate pulses to control stepper motor
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-07-06
    • 文件大小:262144
    • 提供者:hasomeboy
  1. ba

    0下载:
  2. 巴克码生成与测试电路。 当计数脉冲不断进入由Q3Q2Q1组成的三位二进制异步计数器时,3-8译码器的8个输出经反相器后顺序输出高电平。其中五路信号经“或非”后再和其中3路“或”,在Y端便可顺序产生11000100代码序列。-Barker code to generate and test circuits. When the count pulse entering from Q3Q2Q1 composed of three asy
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-07-06
    • 文件大小:379904
    • 提供者:wang
  1. cnt4

    0下载:
  2. 四位计数器 计数器是数字系统中用得较多的基本逻辑器件。它不仅能记录输入时钟脉冲的个数,还可以实现分频、定时、产生节拍脉冲和脉冲序列等。例如,计算机中的时序发生器、分频器、指令计数器等都要使用计数器。 -Counter Counter 4 is used by digital systems more basic logic devices. It not only records the number of input clock
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-06
    • 文件大小:20480
    • 提供者:sy
  1. 12

    0下载:
  2. 以MAX038 为基础而研制的宽频带函数波形产生器, 它可以产生2~ 2×107Hz 频率范围的正 弦波、三角波、锯齿波和矩形波以及与它们同步的TTL 脉冲信号。-MAX038 basis to the development of broadband waveform generator function, it can produce 2 ~ 2 × 107Hz frequency range of sine wave, tri
  3. 所属分类:软件工程

    • 发布日期:2024-07-06
    • 文件大小:159744
    • 提供者:wenliqiang
  1. WL012

    0下载:
  2. 计数器实验 * * 定时器1工作于16位计数模式,记录外部脉冲的个数; * * 定时器0工作于定时模式,用于进行动态扫描显示 * * * * 此程序可用按键AN4来演示,但是由于按键有抖动现象,所以每按一次* * 有可能产生多次计数脉冲 * *-Timer 1 Counter** Experimental work on 16 count mode, recording the number of externa
  3. 所属分类:其他行业

    • 发布日期:2024-07-06
    • 文件大小:25600
    • 提供者:liuchengjun
  1. Arbi_PulseGen_vhd

    0下载:
  2. 利用fpga实现的任意脉冲波形产生器 很有用的vhd代码-FPGA realization of the arbitrary use of pulse generator vhd useful code
  3. 所属分类:软件工程

    • 发布日期:2024-07-06
    • 文件大小:1024
    • 提供者:
  1. maichong

    0下载:
  2. 这是我在ISP编程课上独立编写的一个采用模块化+行为描述方式实现的可控脉冲发生器。程序有四个并行模块:减数器&控制模块(用于设置发生脉冲数量并记数,同时产生控制信号)、脉冲发生模块(用于接受控制信号并产生脉冲输出)、脉冲接收模块(用于接收脉冲输出并记录脉冲个数)、显示模块(将接受模块记录到的脉冲总数显示到数码管上)。此程序是我从画逻辑结构图开始一步步独立开发的,并没有参考或仿照网络上的其他脉冲发生器源码,因此与网络上任何其他可控脉冲发生
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-07-06
    • 文件大小:69632
    • 提供者:daisichong
  1. Digital_Responder(Digital_Circuit)

    0下载:
  2. 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。-Answer the number is controlled by the main circuit and the expansion of cir
  3. 所属分类:编程文档

    • 发布日期:2024-07-06
    • 文件大小:56320
    • 提供者:张堃
  1. SOC

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-07-06
    • 文件大小:208896
    • 提供者:张林锋
  1. 8259

    0下载:
  2. 用单脉冲发生器的输出脉冲作为中断源,每按一次按键产生一次中断申请,中断服务程序将在实验箱的显示屏显示一个字符“R”-Single-pulse generator output pulse as interrupt sources, each generated by a disruption of a key application for interrupt service routine to the display box in
  3. 所属分类:汇编语言

    • 发布日期:2024-07-06
    • 文件大小:4096
    • 提供者:黄赛杰
  1. szqdq

    0下载:
  2. 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。-Answer the number of circuits is controlled by the main circuit and expansio
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-07-06
    • 文件大小:56320
    • 提供者:彭秀媛
  1. temperature

    0下载:
  2. 此设计以单片机STC89C51为核心,由声音传感器采集脉搏信号,经过LM324前置放大电路、滤波电路和比较电路后得到与脉搏相关的脉冲信号,将该脉冲信号作为定时/计数器T1中断信号交由单片机进行脉冲周期的计算,T0做定时器。然后得出每分钟的脉搏搏动次数(即心率),并将结果1602LCD上显示心率。在对人体脉搏检测时,具有检错排错的功能。若出现误操作(如不小心移动时产生的噪声)造成检测到的心跳次数不正确的结果,所以在程序中检测时间到达第5秒
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-07-06
    • 文件大小:1024
    • 提供者:郑雄
  1. shuziqiangdaqi123

    0下载:
  2. 数字抢答器(数字电路)【课程设计】数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。-Answer the number of devices (digital circuit) curriculum d
  3. 所属分类:软件工程

    • 发布日期:2024-07-06
    • 文件大小:56320
    • 提供者:jdz
  1. daima

    0下载:
  2. 用VHDL语言设计一个数字秒表: 1、 秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。 2、 计时精度为10MS。 3、 复位开关可以随时使用,按下一次复位开关,计时器清零。 4、 具有开始/停止功能,按一下开关,计时器开始计时,再按一下,停止计时。系统设计分为几大部分,包括控制模块、时基分频模块、计时模块和显示模块等。其中,计时模块有分为六进制和十进制计时器。计时是对标准时钟脉冲计数。计数器由
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-06
    • 文件大小:5120
    • 提供者:SAM
  1. FPGA_Clk

    0下载:
  2. 基于Cyclone EP1C6240C8 FPGA的时钟产生模块。主要用于为FPGA系统其他模块产生时钟信号。采用verilog编写。 使用计时器的方式产生时钟波形。 提供对于FPGA时钟的偶数分频、奇数分频、始终脉冲宽度等功能。-Based on Cyclone EP1C6240C8 FPGA' s clock generator module. Is mainly used for the FPGA system cl
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-06
    • 文件大小:1466368
    • 提供者:icemoon1987
  1. pmuxxplusii-vr

    0下载:
  2. 用VHDL开发的数字时时钟,可变宽度脉冲产生器 -VHDL development of digital clock, variable-width pulse generator
  3. 所属分类:Windows编程

    • 发布日期:2024-07-06
    • 文件大小:9216
    • 提供者:条款
  1. speeter-motor

    0下载:
  2. 本文讲述了细分驱动技术的原理,重点介绍了细分驱动控制系统的设计方法,设计了一套传统细分驱动系统的设计方案,在此基础上,本文进一步介绍了L297、L298两块芯片,采用这两块芯片构成步进电机的驱动电路,应用DAC0832实现D/A转换,采用精简指令集单片机AVR作为脉冲产生器,对步进电机的工作过程进行控制,最终组成电机细分数可选的驱动控制系统。-failed to translate
  3. 所属分类:文件格式

    • 发布日期:2024-07-06
    • 文件大小:928768
    • 提供者:sandaozhu
  1. pulse_exp

    0下载:
  2. 可配占空比、脉冲个数,受输入trigger的脉冲产生器(The pulse generator with input trigger can be matched with the null ratio and the number of pulses)
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-06
    • 文件大小:1024
    • 提供者:阿士大夫
« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org