搜索资源列表

  1. renwuzhuanji

    0下载:
  2. IT人物传记:磁盘之父--约翰逊与舒加特
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4970
    • 提供者:王鱼
  1. ITbiographyThefatherofdiskJohanssonandShugart

    0下载:
  2. IT人物传记:磁盘之父--约翰逊与舒加特 可以看下他的成功经验,学习一下
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1972
    • 提供者:朱赛宁
  1. renwuzhuanji

    0下载:
  2. IT人物传记:磁盘之父--约翰逊与舒加特-IT biographies: Johnson and the father of the disk Shugart
  3. 所属分类:成功激励

    • 发布日期:2024-09-28
    • 文件大小:5120
    • 提供者:王鱼
  1. ITbiographyThefatherofdiskJohanssonandShugart

    0下载:
  2. IT人物传记:磁盘之父--约翰逊与舒加特 可以看下他的成功经验,学习一下-IT biographies: Johnson and the father of the disk can Shugart facie him the successful experience of learning about
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-09-28
    • 文件大小:2048
    • 提供者:朱赛宁
  1. cnt6

    0下载:
  2. verilog实现的“六进制约翰逊计数器”。-verilog implementation of the " six hexadecimal Johnson counters."
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-28
    • 文件大小:2048
    • 提供者:王先生
  1. JohnsonCounter

    0下载:
  2. 约翰逊计数器-Johnson Counter
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-28
    • 文件大小:201728
    • 提供者:zlongw
  1. clean_bomb

    0下载:
  2. 扫雷最原始的版本可以追溯到1973年一款名为"方块"的游戏。不久之后,"方块"被改写成了游戏"Rlogic"。在"Rlogic"里,玩家的任务是作为美国海军陆战队队员,为指挥中心探出一条没有地雷的安全路线,如果路全被地雷堵死就算输。两年后,汤姆·安德森在"Rlogic"的基础上又编写出了游戏"地雷",由此奠定了现代扫雷游戏的雏形。1981年, 微软公司的罗伯特·杜尔和卡特·约翰逊两位工程师在Windows 3.1系统上加载了该游戏,扫雷
  3. 所属分类:游戏

    • 发布日期:2024-09-28
    • 文件大小:69632
    • 提供者:
  1. lab

    0下载:
  2. 约翰逊噪声精确测温系统的虚拟仪器实现Johnson noise temperature measurement system accurate implementation of Virtual Instrument-Johnson noise temperature measurement system accurate implementation of Virtual Instrument
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-09-28
    • 文件大小:425984
    • 提供者:fast
  1. counter_3

    0下载:
  2. 三种计数器的verilog实现,二进制计数器,格雷码计数器,约翰逊计数器.初学硬件描述语言可参考。-Three kinds of counter verilog implementation of a binary counter, gray code counter, Johnson counter beginner hardware descr iption language can refer to
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-28
    • 文件大小:1024
    • 提供者:李菲
  1. App1

    0下载:
  2. 我是一名在读大学生,非CS专业的学生,只是因为自己感兴趣,所以踏上了学习java的路,现在还是处于初步阶段,这个代码基于工业工程约翰逊算法模拟工序得出最优方案-I was one of the Undergraduate, non-CS majors, just because they are interested, so embarked on a learning java road, now or at a preliminar
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-09-28
    • 文件大小:1024
    • 提供者:Steve
  1. CLIPS-Demo

    0下载:
  2. CLIPS(C Language Integrated Production System)是由美国国家航天局约翰逊空间中心人工智能部在1985年推出的专家系统开发工具。-CLIPS (C Language Integrated Production System) is the NASA Johnson Space Center in the Department of Artificial Intelligence in 1985
  3. 所属分类:人工智能/神经网络/遗传算法

    • 发布日期:2024-09-28
    • 文件大小:328704
    • 提供者:陈锋
  1. keyscanverilog

    0下载:
  2. 提供了基于FPGA的按键防抖程序,采用约翰逊技术技术,并提供了在Modesim_Altera环境下的仿真测试-Provides key FPGA-based image stabilization program using Johnson technology technology, and provides environmental simulation testing under Modesim_Altera
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-28
    • 文件大小:311296
    • 提供者:李连生
  1. johnson

    0下载:
  2. 此代码实现约翰逊计数器,内容不多,注释详尽,供初学者使用。-Johnson counts
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-28
    • 文件大小:233472
    • 提供者:柳攸
  1. 实用多元统计分析 约翰逊 课后答案[1到12章]

    0下载:
  2. 使用多元统计分析的课后题答案 希望能够帮助大家学习 谢谢(Use multivariate statistical analysis of the lesson after the answer to hope to help you learn Thank you)
  3. 所属分类:文档资料

  1. Johnaon_counter

    0下载:
  2. 本设计为六位约翰逊(Johnson)计数器,首先给大家介绍一下什么是约翰逊计数器,它又称扭环计数器,是一种用n位触发器来表示2n个状态的计数器。它与环形计数器不同,后者用n位触发器仅可表示n个状态。2~n进制计数器(n为触发器的个数)有2~n个状态。若以6位二进制计数器为例,它可表示64个状态。但由于8421码每组代码之间可能有二位或二位以上的二进制代码发生改变,这在计数器中特别是异步计数器中就有可能产生错误的译码信号,从而造成永久性的
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-28
    • 文件大小:6144
    • 提供者:Leegege
  1. Johnson_counter

    0下载:
  2. 利用 fpga 实现约翰逊计数器的功能(fpga Realize the function of Johnson counter)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-09-28
    • 文件大小:294912
    • 提供者:遏制度人
  1. 8比特的约翰逊计数器

    0下载:
  2. 用Verilog语言编写程序实现8比特约翰逊计数器(Write a program in Verilog language to implement the 8 bit Johnson counter.)
  3. 所属分类:其他小程序

    • 发布日期:2024-09-28
    • 文件大小:10240
    • 提供者:limaozi

源码中国 www.ymcn.org