搜索资源列表

  1. 电子拔河

    0下载:
  2. 电子拔河游戏的实现, 二极管,移位寄存器和计数器的实现-electronic game of tug-of-war to achieve, diodes, shift register and counter the realization
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:21940
    • 提供者:jojo
  1. 数字电子技术课程设计-交通灯

    0下载:
  2. 摘要:本文介绍了以计算机为核心.利用可编程并行接口芯片8255A的软硬件功能,实现对交通灯控制 关键词: 计算机 可编程并行接日芯片 交通灯 8255A 引言:交通灯是交通安全的关键,已广泛应用于城乡的十字路口,它的有无作为交通安全检查的重要依据,是交通秩序正常进行的有力保障。 一、实验目的 1. 了解交通灯管理的基本工作原理。 2. 熟悉8253计数器/定时器、8259A中断控制器和8255A并行接口的工作方式及
  3. 所属分类:多媒体

    • 发布日期:2009-06-07
    • 文件大小:372736
    • 提供者:tc489587464
  1. 电子拔河

    0下载:
  2. 电子拔河游戏的实现, 二极管,移位寄存器和计数器的实现-electronic game of tug-of-war to achieve, diodes, shift register and counter the realization
  3. 所属分类:软件工程

    • 发布日期:2024-09-20
    • 文件大小:21504
    • 提供者:jojo
  1. second&clk

    0下载:
  2. 开发系统上采用的时钟信号的频率是20MHz,可分别设计计数器对其计数,包括计秒、分、小时、日、周、月以及年等。在每一级上显示输出,这样就构成了一个电子日历和时钟的模型。为了可以随意调整计数值,还应包含设定计数初值的电路-Development system using the clock signal frequency is 20MHz, the design can be counter to its count, includin
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:336896
    • 提供者:
  1. VHDL.sheji.2

    0下载:
  2. 电子时钟VHDL程序与仿真 10进制计数器设计与仿真 6进制计数器设计与仿真-electronic clock procedures and VHDL simulation Decimal counter design and simulation of six NUMBER Design and Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:59392
    • 提供者:少龙
  1. dianzijinsaiyongdechengxu

    0下载:
  2. 用凌阳单片机编的一个带AD采集,语音报计数,语音报电压,计数器的一个程序,这个是我用来参加今年的浙江省电子设计大赛的程序,效果很好-Sunplus with a microcontroller series with AD Acquisition, Voice reported count, Voice reported voltage, Counter of a process, this is what I used to atte
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-09-20
    • 文件大小:115712
    • 提供者:zhoudong
  1. NumClock

    0下载:
  2. 基于Altera公司系列FPGA(Cyclone EP1C3T144C8)、Verilog HDL、MAX7219数码管显示芯片、4X4矩阵键盘、TDA2822功放芯片及扬声器等实现了《电子线路设计• 测试• 实验》课程中多功能数字钟实验所要求的所有功能和其它一些扩展功能。包括:基本功能——以数字形式显示时、分、秒的时间,小时计数器为同步24进制,可手动校时、校分;扩展功能——仿广播电台正点报时,任意时刻闹钟(选做)
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:23552
    • 提供者:田世坤
  1. Electronicstopwatch

    1下载:
  2. 电子秒表,在硬件上实现电子秒表,主要用8279键盘/显示芯片,8259A中断控制器,8253定时/计数器以及8255A并行接口芯片-electronic stopwatch in electronic hardware stopwatch, mainly 8279 keyboard/Chip, 8259A interrupt controller, 8253 timer/counter and 8255A Parallel Interf
  3. 所属分类:其他小程序

    • 发布日期:2024-09-20
    • 文件大小:14336
    • 提供者:123456
  1. Digital

    0下载:
  2. 数字频率计数器又称通用计数器,是电子测量领域中最常见的测量仪器之一。它可以测量正弦波的频率(周期),脉冲波的频率(周期),脉冲宽度等时间参数。在通信,电子等领域中有广泛的应用。本文对传统的测频方法中存 在的精度低的问题进行了分析:数字频率计数器在测量高频信号时能够达到足够高的测量精度,但在测低频信号时,其测量结果的有效位数将会减少,精度也会相应的降低。在此基础上提出了一种基于单片机的等精度测频率,测周期,测脉冲宽度的实现方法。介绍了单片
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-09-20
    • 文件大小:21504
    • 提供者:高文斌
  1. 60COUNTER

    0下载:
  2. 六十进制计数器.电子万年历是计数器的应用之一.年由月的十二进制计数器进位+1得到.月是日的三十进制计数器进位+1得到.日是小时的二十四进制计数器进位+1得到.小时是分的六十进制计数器进位+1得到.分是秒的六十进制计数器进位+1得到.本程序基于VHDL.其开发环境是MAXPLUS2-Six decimal counter. Electronic calendar is one of counter application. 10 mont
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-09-20
    • 文件大小:4096
    • 提供者:weixiaoyu
  1. secondclock

    0下载:
  2. 电子秒表要实现的功能:用键盘中断来控制整个程序,按一下回车键启动电子秒表,再按一下暂停,按一下ESC键清零,用七段数码管显示时间。整个程序涉及到8255、8253与8259三个芯片。8253的OUT2,CLK2分别连接8259的IRQ7与PCLK,8253的GATE2连接正5伏电压,采用计数器2每隔0.01秒产生一次中断并且计数,写入以偏移地址4000H开始的4个内存单元,然后利用8255将内存单元的数据输出到七段数码管-err
  3. 所属分类:文档资料

    • 发布日期:2024-09-20
    • 文件大小:18432
    • 提供者:fff
  1. shuzhizhong_kechengsheji

    0下载:
  2. 中南大学数字电子技术课程设计--数字钟的设计 一.设计目的 1. 进一步掌握各芯片的逻辑功能及使用方法。 2. 进一步掌握数字钟的设计方法和和计数器相互级联的方法。 3. 进一步掌握数字系统的设计和数字系统功能的测试方法。 4. 进一步掌握数字系统的制作和布线方法。 二.设计要求 1.设计指标  数字钟具有显示时、分、秒的功能;  有校时功能,可以分别对时及分进行单独校
  3. 所属分类:软件工程

    • 发布日期:2024-09-20
    • 文件大小:159744
    • 提供者:thocr
  1. dianzibaojingqi

    0下载:
  2. 电子警报器设计,是在TND-MD教学系统上实现的,所使用的芯片主要有8253定时计数器、8259A中断控制器、8255A并行接口电路芯片。通过按键实现报警与不报警的功能。 源代码在文档最后,附有注释。
  3. 所属分类:汇编语言

    • 发布日期:2024-09-20
    • 文件大小:15360
    • 提供者:飘零
  1. countertimer

    0下载:
  2. 利用定时计数器,设计一个电子时钟,从左到右依次显示时分秒。有两种方法实现,一种是在中断程序中计数,产生时分秒计数,送到显示缓冲区。另一种是中断程序每一秒清除一个位变量,而主程序通过监视位变量的变化来知道每秒的时间。 -The use of regular counters, design of an electronic clock, minutes and seconds from left to right is displayed
  3. 所属分类:汇编语言

    • 发布日期:2024-09-20
    • 文件大小:119808
    • 提供者:rockyta
  1. dzmb

    0下载:
  2. 利用汇编语言实现电子秒表模拟程序 掌握定时/计数器8253和中断的使用。-Realize the use of assembly language simulation program to master the electronic stopwatch timer/counter 8253 and the interruption of use.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-09-20
    • 文件大小:7168
    • 提供者:tanglibo
  1. dianzishizhong

    0下载:
  2. 题目:电子时钟的设计 一、实验目的: 1. 掌握多位计数器相连的设计方法。 2. 掌握十进制、六十进制、二十四进制计数器的设计方法。 3. 继续巩固多位数码管的驱动及编码。 4. 掌握扬声器的驱动 5. 掌握EPLD技术的层次化设计方法 二、实验要求: 1.用时、分、秒计数显示功能,以24小时循环计时。 2.具用清零,调节小时、分钟功能。 3.具用整点报时功能。 -Title: E-c
  3. 所属分类:软件工程

    • 发布日期:2024-09-20
    • 文件大小:167936
    • 提供者:li
  1. 2010011022

    0下载:
  2. 在电子领域内,频率是一种最基本的参数,并与其他许多电参量的测量方案和测量结果都有着十分密切的关系。由于频率信号抗干扰能力强、易于传输,可以获得较高的测量精度。因此,频率的测量就显得尤为重要,测频方法的研究越来越受到重视。   频率计作为测量仪器的一种,常称为电子计数器,它的基本功能是测量信号的频率和周期频率计的应用范围很广,它不仅应用于一般的简单仪器测量,而且还广泛应用于教学、科研、高精度仪器测量、工业控制等其它领域。在数字电路中,
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:611328
    • 提供者:程琳
  1. dianzijishuqi

    0下载:
  2. 设计一个基于单片机的电子计数器,并且能够实现暂停,继续,复位功能。 (2) Protel专用软件绘制单片机原理图; (3) 设计出软件编程方法,并写出源程序; (4)用C语言实现程序设计。; (5)用Keil软件编写HEX等相关文件; (6)程序的各功能模块要清楚,有序。(Singlechip electronic device)
  3. 所属分类:嵌入式/单片机编程

  1. 计数器软件

    0下载:
  2. 电子计数器用的软件,功能不错,MODBUS标准通讯协议(Electronic counter software, function is good, MODBUS standard communication protocol)
  3. 所属分类:其他小程序

    • 发布日期:2024-09-20
    • 文件大小:39936
    • 提供者:张方
  1. 计数器

    0下载:
  2. 一个简单的计数器,用于eda实验,电子技术综合实验(A simple Digital counter)
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:10240
    • 提供者:左城梦
« 12 3 4 5 6 »

源码中国 www.ymcn.org