搜索资源列表

  1. TLC5510APhase

    0下载:
  2. 运用TLC5510A高速(20M),扫描出波形,测量相位差,两个TLC5510A测两个波形. -TLC5510A use of high-speed (20M), scanning waveform, phase difference measurement, Measuring 2 2 TLC5510A waveform.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:930247
    • 提供者:张春龙
  1. FPGA--DDS-PhaseMeasure

    1下载:
  2. Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1371610
    • 提供者:haoren
  1. 基于MCS_51单片机的高精度数字测相方法.pdf

    0下载:
  2. 相位是周期信号的一种重要的波形参数. 利用 MCS - 51 单片机与外部电路相结合 ,充分 利用其片内资源 ,采用过零鉴相法 ,高频脉冲填充计数 ,多周期等精度测量方法 ,实现了相位差的高精度 测量. 着重介绍了系统原理及硬软件实现方法
  3. 所属分类:文档资料

  1. spm

    0下载:
  2. #include"c8051f020.h" #define Tcount 13333 sbit SPWM_OUT1=P0^4; sbit SPWM_OUT2=P0^5; //调制度为0.8// unsigned int code sin_H[25]={26,78,129,178,224,267,305,338,367,389,405,415,418,415,405,389,367,338,305,267
  3. 所属分类:源码下载

  1. TLC5510APhase

    0下载:
  2. 运用TLC5510A高速(20M),扫描出波形,测量相位差,两个TLC5510A测两个波形. -TLC5510A use of high-speed (20M), scanning waveform, phase difference measurement, Measuring 2 2 TLC5510A waveform.
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-28
    • 文件大小:929792
    • 提供者:张春龙
  1. FPGA--DDS-PhaseMeasure

    0下载:
  2. Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。-Verilog realize the DDS sine wave signal generator and frequency measurement module test phase, DDS module c
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-09-28
    • 文件大小:1371136
    • 提供者:haoren
  1. xiangWeiCha

    0下载:
  2. 是一些 数字相关法的论文 1.FFT法与数字相关法在相位测量上的比较.pdf 2.采用数字相关法测量相位差.pdf 3.基于FFT谱分析算法的高精度相位差测量方法.pdf 4.基于Hilbert变换的相位测量法与数字相关测相法的比较.pdf 5.基于虚拟仪器技术和互相关原理的相位测量仪.pdf 6.数字化相位差测量算法的研究.pdf 7.相位差的数字化测量研究.pdf 8.虚拟仪器测量周期信号相位的仿真设
  3. 所属分类:其他小程序

    • 发布日期:2024-09-28
    • 文件大小:2929664
    • 提供者:杨志杰
  1. Lowfrequencydigitalphasetester

    0下载:
  2. 低频数字式相位测试仪,本设计给出了以凌阳16位单片机Spce061A为核心的数字式相位测量的基本原理与实现方案。该系统由相位测量仪、数字式移相信号发生器和移相网络三个模块构成,分别由两块单片机独立地实现控制与显示功能。采用DDS技术生成两路正弦波信号,并通过改变存储器中数据读取的起始地址来实现数字移相的功能,用Ф-T变换技术来实现相位差的测量,使得测量分辨率精确到0.1º ,测得的频率与相位差值送入LCD进行显示,加入红外键盘以
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-09-28
    • 文件大小:269312
    • 提供者:shiyan
  1. cegao

    1下载:
  2. 双基(机载)合成孔径雷达测高——比较没技术含量,因为用的方法是斜距差,没用相位差(还没研究出来),所以误差有点大。-Double-base (Airborne) synthetic aperture radar altimeter- not the technical content of comparison, because the methodology used is inclined away from the poor, n
  3. 所属分类:matlab例程

    • 发布日期:2024-09-28
    • 文件大小:2048
    • 提供者:sjh
  1. Digital_Phase_Measurement

    0下载:
  2. 测量相位差并用LCD显示。从信号源接入两路信号,经过AD1和AD2转换后,送入FPGA中。 在FPGA中,使用双值法整形,得到两路标准的方波,然后测出两路信号的时差Δt,以及信号的周期T, 并计算相位差(ΔΦ=Δt/T*360°)。并送入1602中显示。经测试,其测相误差小于1 。-Measured phase difference and with LCD display. Two-way access from the so
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-28
    • 文件大小:4096
    • 提供者:涛哥
  1. demo

    0下载:
  2. 对于运动图像分析菜单的一点说明 该菜单为主框架里的一个菜单项,对应第九章运动分析内容,该菜单提供了两种分析方法,提供的两幅静态图像为随书光盘所带“各章测试图”中“第九章”文件夹内的“图9-5a.bmp”和“图9-5b.bmp”。 归一化相位相关方法和相位差方法是两种运动估计方法,根据算法原理的不同,其输出形式也不相同。 例如,对于所提供的128*128大小的一对测试图,相位差的方法输出形式为:“相对于第一幅图像,x方向移动1
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2024-09-28
    • 文件大小:546816
    • 提供者:2510
  1. vibrating-rotator-experiment

    0下载:
  2. 用于高校学生 转子振动台轴跳动轨迹分析仿真实验。采用正交的具有相位差的两列正弦波来模拟传感器测到的X方向和Y方向的轴跳动。包括信号的时频分析,滤波处理,叠加还原和边界检验。参数可自定-For college students beat the rotor shaft vibration table trajectory analysis simulation. With orthogonal phase difference of tw
  3. 所属分类:教育/学校应用

    • 发布日期:2024-09-28
    • 文件大小:81920
    • 提供者:
  1. FFxiangweicha

    0下载:
  2. 基于傅里叶分析的信号延时测量,采用FFT方法,对同一频率的两个信号进行频谱分析,测得相位差-Delay measurements based on Fourier analysis of signals using the FFT method for spectral analysis of the two signals of the same frequency, the measured phase difference
  3. 所属分类:matlab例程

    • 发布日期:2024-09-28
    • 文件大小:11264
    • 提供者:sunzhenyong
  1. counter_mu_over

    0下载:
  2. 通过fpga实现多功能计数器,从而进行测频,测相位差,占空比-Fpga realization of multifunction counter, frequency measurement, the measured phase, duty cycle
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-28
    • 文件大小:3847168
    • 提供者:wang dong
  1. cexiang

    0下载:
  2. 针对五单元圆型天线阵干涉仪测向系统,分别对等基线和不等基线的结构和相位模糊进行了分析;通过对多基线测量数据的加权处理提高测向精度,提出了权系数的选择方法和测向信号处理算法,其中包括相位差估计、角度变换、解模糊和接收通道误差校正等;计算机仿真结果表明两种结构基线的测向精度非常接近,在全方位、超短波频段内的最大测向误差小于0.10。-eee
  3. 所属分类:matlab例程

    • 发布日期:2024-09-28
    • 文件大小:17408
    • 提供者:张散
  1. phase

    0下载:
  2. 2012年江苏省电子设计竞赛,测相位差程序。可分辨相位的超前于滞后,经测试稳定可靠!-Electronic Design Contest in 2012, Jiangsu Province, the phase difference measurement procedures. Distinguished phase ahead of the lag has been tested and is stable and reliable
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-28
    • 文件大小:3740672
    • 提供者:阮志强
  1. phase_test

    0下载:
  2. VHDL,简易音频数字相位表的设计与实现 数字相位测量仪在工业领域中经常用到的一般测量工具,主要应用与同频率正弦信号间的相位差的测量显示。 本系统采用FPGA实现测量的核心部分,主要由数字鉴相、累加计数器、控制器以及寄存与显示译码电路组成。该系统硬件电路简单,整个系统采用硬件描述语言VHDL作为系统内部硬件结构的描述手段,在XILINX公司的ISE9.1的软件支持下完成。可以对20Hz~20kHz频率范围内的音频信号进行采样鉴相处理
  3. 所属分类:软件工程

    • 发布日期:2024-09-28
    • 文件大小:1367040
    • 提供者:张学仁
  1. 相位差

    1下载:
  2. 用硬件实现两路方波的整合,整合出一路方波,最后程序用输入捕获功能测量相位差,从而得出功率因数(Hardware to achieve two-way square wave integration, integration of a square wave, and the final program with input acquisition function to measure phase difference, thereby
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-09-28
    • 文件大小:2944000
    • 提供者:姗姗0323
  1. VPI documents

    0下载:
  2. VPI中基于demzm调制器微波光子直接检测两个射频信号的相位差(In VPI, the phase difference between two rf signals is detected directly based on the demzm modulator microwave photonics.)
  3. 所属分类:其他小程序

    • 发布日期:2024-09-28
    • 文件大小:17408
    • 提供者:果果1989
  1. 相位差测频

    0下载:
  2. 雷达信号的信道化内容,主要包括相位差测频(Channelization content of radar signal, mainly including phase difference frequency measurement)
  3. 所属分类:数值算法/人工智能

    • 发布日期:2024-09-28
    • 文件大小:6144
    • 提供者:程小乖123
« 12 »

源码中国 www.ymcn.org