搜索资源列表

  1. 流水线CPU

    0下载:
  2. 流水线CPU的设计流程
  3. 所属分类:matlab例程

  1. 靳远-源程序

    0下载:
  2. 几个VHDL的源代码和和一个本人编写的5级流水线RISC CPU的代码-several VHDL source code, and in my preparation of a five pipelined RISC CPU code
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:443392
    • 提供者:core_design
  1. GetCPU

    2下载:
  2. 一个利用DLL实现获得CPU信息的代码,十分专业,不但可以获得CPU的速度、型号等,而且可以获得CPU的缓存大小、流水线数等等30多项CPU的特性,而且,带了DLL的VC源程序-a DLL using information obtained CPU code, very professional, not only can the CPU speed, models, but the available CPU cache size,
  3. 所属分类:Windows编程

    • 发布日期:2024-06-27
    • 文件大小:96256
    • 提供者:王远勤
  1. MIPS五级流水线模拟程序

    0下载:
  2. MIPS五级流水线模拟程序,能执行简单的MIPS指令,模拟流水线状态及寄存器结果,实现cpu流水的概念-MIPS five-level stream-line simulation program, this program can execute simple MIPS instruction, simulat stream-line s status and register result, and it implements st
  3. 所属分类:Windows编程

    • 发布日期:2024-06-27
    • 文件大小:236544
    • 提供者:黄欣
  1. mips_creative

    0下载:
  2. 一个完整的MIPS CPU,创新设计,浙江大学某学生作品,有完整的说明文档、仿真文件和测试文件,可以直接综合和仿真。-a complete MIPS CPU, innovative design, a student of Zhejiang University works with complete documentation, simulation and test documents, and can be directly in
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-06-27
    • 文件大小:1866752
    • 提供者:梁文锋
  1. 1_TO_4

    0下载:
  2. 大型risc处理器设计源代码,这是书中的代码 基于流水线的risc cpu设计-large risc processor design source code, which is based on the code book pipelined design of the risc cpu
  3. 所属分类:STL

    • 发布日期:2024-06-27
    • 文件大小:152576
    • 提供者:
  1. CPU_use

    0下载:
  2. 使用VHDL语言编写的简单8位流水线CPU 它有六级流水功能,通过仿真 可以下载到实验箱,也有波形仿真-use VHDL to prepare a simple eight pipelined CPU it has six functional water, Simulation experiments can be downloaded to the box, a waveform simulation
  3. 所属分类:书籍源码

    • 发布日期:2024-06-27
    • 文件大小:1530880
    • 提供者:邮件
  1. leg_source

    0下载:
  2. verilog hdl编写,六段流水线CPU.程序完整,功能强惊。分为多模块编写-verilog hdl prepared replace pipelined CPU. The integrity of the process, strong function scared. Divided into multiple modules prepared
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-06-27
    • 文件大小:656384
    • 提供者:lumingzhi
  1. CPU

    0下载:
  2. 使用verilog作为CPU设计语言实现单数据通路五级流水线的CPU。具有32个通用寄存器、一个程序计数器PC、一个标志寄存器FLAG,一个堆栈寄存器STACK。存储器寻址粒度为字节。数据存储以32位字对准。采用32位定长指令格式,采用Load/Store结构,ALU指令采用三地址格式。支持有符号和无符号整数加、减、乘、除运算,并支持浮点数加、减、乘、除四种运算,支持与、或、异或、非4种逻辑运算,支持逻辑左移、逻辑右移、算术右移、循环右
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:43008
    • 提供者:haotianr
  1. CPU16

    0下载:
  2. 用VHDL语言开发的一个16位的具有5级流水线的CPU设计-VHDL language used to develop a 16 with five lines of the CPU design
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:417792
    • 提供者:luanjinlong
  1. 5_lined_cpu

    0下载:
  2. 简单5级流水线CPU的verilog逻辑设计-Simple line 5 of the CPU logic design verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:1024
    • 提供者:张健
  1. CPU_verilog

    0下载:
  2. 一个4级流水线CPU的verilog代码,供参考学习使用,有些语句不能综合,可以通过它学习CPU的工作原理。-A 4-stage pipeline CPU' s verilog code, learning to use for reference, some statements can not be integrated, you can learn from CPU through its works.
  3. 所属分类:Windows编程

    • 发布日期:2024-06-27
    • 文件大小:63488
    • 提供者:xq
  1. 6_seg_cpu

    0下载:
  2. 我写的6段流水线cpu,供大家参考。里面包括了alu memory topcpu等模块-I wrote a six-stage pipeline CPU, for your reference
  3. 所属分类:其他小程序

    • 发布日期:2024-06-27
    • 文件大小:656384
    • 提供者:lijiaxin
  1. CPU

    0下载:
  2. 32位精简指令处理器 非流水线版 具有无极流水线-32bitRISK CPU without pipeline
  3. 所属分类:Windows编程

    • 发布日期:2024-06-27
    • 文件大小:22528
    • 提供者:Melody
  1. CPU

    0下载:
  2. verilog编写CPU: 1. 哈佛存储器结构,大端格式; 2. 类MIPS精简指令集,支持子程序调用和软中断; 3. 实现了乘除法; 4. 五级流水线,工作频率可达80MHz(每个时钟周期一条指令,不计流水线冲突)。 -MIPS like CPU using verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:17408
    • 提供者:yk
  1. PIPE_LINING_CPU_TEAM_24

    0下载:
  2. 采用Quatus II编译环境,使用Verilog HDL语言编写实现了五段流水线CPU。 能够完成以下二十二条指令(均不考虑虚拟地址和Cache,并且默认为小端方式): add rd,rs,rt addu rd,rs,rt addi rt,rs,imm addiu rt,rs,imm sub rd,rs,rt subu rd,rs,rt nor rd,rs,rt xori rt,rs,imm clo rd,rs clz
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:4946944
    • 提供者:
  1. PipelineCPU

    0下载:
  2. 用Verilog实现一个简单的流水线CPU,并运行一个Quicksort程序。这是Berkley,eecs系的计算机系统结构课程实验的实验三。-This file is written in Verilog to achieve a simple pipeline CPU, which can run a Quicksort program.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:28672
    • 提供者:Matgek
  1. CPU

    0下载:
  2. 32位5级流水线CPU设计指令系统、指令格式、寻址方式、寄存器结构、数据表示方式、存储器系统、运算器、控制器和流水线结构等-32bit pipeline CPU
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:187392
    • 提供者:znl
  1. CPU

    0下载:
  2. 基于32位MIPS流水线CPU,由自己独立完成,-Pipelined 32-bit MIPS-based CPU, by themselves independently,
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:8357888
    • 提供者:张朋
  1. pipelined-mips-cpu

    1下载:
  2. 用verilog语言描述了MIPS的5级流水线。-Language described by verilog MIPS 5-stage pipeline.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-27
    • 文件大小:171008
    • 提供者:jack chen
« 12 3 4 5 6 7 »

源码中国 www.ymcn.org