搜索资源列表

  1. GrayCounter

    0下载:
  2. 计数器,能够由二进制计数器转化为格雷码计数器
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:796
    • 提供者:于玮
  1. geleicounter

    0下载:
  2. 开发环境是FPGA开发工具,格雷码计数器的VHDL程序
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1226
    • 提供者:horse
  1. VHDL学习的好资料--18个VHDL实验源代码

    6下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. GrayCounter

    0下载:
  2. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:1024
    • 提供者:于玮
  1. geleicounter

    0下载:
  2. 开发环境是FPGA开发工具,格雷码计数器的VHDL程序-Development environment is the FPGA development tools, Gray code counter VHDL procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:1024
    • 提供者:horse
  1. bhgfdti

    0下载:
  2. 含有七人表决器,格雷码变换电路,英文字符显示电路,基本触发器(D和JK),74LS160计数器功能模块,步长可变的加减计数器-Containing seven people vote, and Gray code conversion circuit, the English characters display circuit, the basic flip-flop (D and JK), 74LS160 counter funct
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:423936
    • 提供者:俞皓尹
  1. gray_cnt

    0下载:
  2. 一个格雷码计数器,利用Verilog语言实现,一个初学者的好例子。-A Gray-code counters, the use of Verilog language, a good example for beginners.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:1024
    • 提供者:speed
  1. graycnt_3

    0下载:
  2. 3位格雷码计数器的verilog描述及仿真波形-3 Gray code counter verilog descr iption and simulation waveforms
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:2048
    • 提供者:李慧静
  1. graycnt_14

    0下载:
  2. 14位格雷码计数器的verilog描述及仿真波形-14-bit Gray code counter verilog descr iption and simulation waveforms
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:2048
    • 提供者:李慧静
  1. GrayCnt

    0下载:
  2. 格雷码计数器 VerilogHDL语言编写-Gray-code counter using VerilogHDL language
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:8192
    • 提供者:zy
  1. vhdlcoder

    0下载:
  2. 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:59392
    • 提供者:李磊
  1. GrayCnt

    0下载:
  2. 格雷码计数器的verilog实现,做通讯的朋友可以-Gray code counter verilog implementation, so friends can see communication
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:1024
    • 提供者:
  1. PLD

    1下载:
  2. PLD实验代码,包括格雷码计数器、键盘扫描和LED点阵显示、SRAM读写、LCD12864显示汉字。-PLD experimental code, including the Gray code counter, keyboard scanning and LED dot matrix display, SRAM read and write, LCD12864 display Chinese characters.
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:132096
    • 提供者:马昭鑫
  1. 2

    0下载:
  2. 格雷码转换 计数器的实现 两个程序的实现-Gray code conversion Implementation of counter
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:1024
    • 提供者:guoliang
  1. counter_3

    0下载:
  2. 三种计数器的verilog实现,二进制计数器,格雷码计数器,约翰逊计数器.初学硬件描述语言可参考。-Three kinds of counter verilog implementation of a binary counter, gray code counter, Johnson counter beginner hardware descr iption language can refer to
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:1024
    • 提供者:李菲
  1. VHDL

    0下载:
  2. VHDL设计的相关实验,包括4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现。-VHDL design of experiments, including four reversible counters, four reversible binary code- Gray code converter design, the sequence detecto
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:49152
    • 提供者:张联合
  1. geleima--10

    0下载:
  2. 格雷码计数器 vhdL实现 quartus编译通过-Gray code counter VHDL quartus compiled by
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:783360
    • 提供者:蒲瑞瑞
  1. fifo-code

    0下载:
  2. Verilog代码:同步\异步FIFO。包含格雷码计数器.-Verilog code: syncronous\asyncourous FIFO. containing gray counter.
  3. 所属分类:其他小程序

    • 发布日期:2024-07-01
    • 文件大小:3072
    • 提供者:王文
  1. gray_binary_conv

    0下载:
  2. 用VHDL实现的格雷码,有格雷码计数器、格雷码转二进制、二进制转格雷码!-VHDL implementation of the Gray code, there is Gray code counter, Gray code to binary, Gray code Binary!
  3. 所属分类:VHDL编程

    • 发布日期:2024-07-01
    • 文件大小:1024
    • 提供者:登入
  1. gray_counter

    0下载:
  2. 格雷码计数器实质包含了三个部分 格雷码转二进制、加法器、二进制转格雷码。通过quartus II 自带的Modlesim仿真验证了 能够实现二进制和格雷码之间的转换(Gray counter essence contains three parts, gray code to binary adder, binary gray code conversion. Modlesim simulation by quartus with II
  3. 所属分类:其他小程序

    • 发布日期:2024-07-01
    • 文件大小:2977792
    • 提供者:hay_123
« 12 »

源码中国 www.ymcn.org