搜索资源列表

  1. 4x4的数据选择器

    0下载:
  2. 用vhdl的4x4的数据选择器,在maxplusII下编译、仿真通过。是构成大型数字电路的重要部件。适合vhdl初学者分析学习。-4x4 with the VHDL data selectors, under the maxplusII compiler, simulation through. Yes constitute large-scale digital circuits important components. VHDL
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3394
    • 提供者:roya
  1. 数据选择器vhd源代码

    0下载:
  2. 数据选择器,半加器,3-8译码器vhd源代码。是最近学校的实验内容。我要成会员,所以都发上来供大家参考。-data selection, half-adder ,3-8 decoder vhd source code. Recent experimental schools content. I want to become members and therefore has made onto for reference.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:11725
    • 提供者:kljd
  1. blocking

    0下载:
  2. 基于verilog语言的数据选择器,包括数据选择器的测试模块 -verilog language based on the data selector, including data selection for the test module
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:28469
    • 提供者:赖建
  1. jk

    0下载:
  2. 寄存器所储存的数据的数据经由数据选择器进入,在进由译码电路将原来的BCD码转换成七段显示器的显示码,之后传送到七段显示器。请注意,四个七段显示器是轮流点亮而非一起点亮,其显示扫描的速度必须和数据选择器器同步,因此需要一个计数器来提供两者的扫描信号。事实上,显示器扫描信号和键盘扫描信号两者对扫描信号的速度要求相近,所以可以共享一组扫描信号。-stored in the register of data through the data s
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:1544
    • 提供者:谢斌
  1. VERILOGSELE

    0下载:
  2. 运用always 块设计一个八路数据选择器。要求:每路输入数据与输出数据均为4 位2进制数,当选择开关(至少3 位)或输入数据发生变化时,输出数据也相应地变-always use a block design options for the Eighth Route Army data. Requirements : every road input data and output data are four two-band numb
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:14940
    • 提供者:周正华
  1. 458743875984584

    0下载:
  2. 数据选择器的multisim8仿真(逻辑分析仪)
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:112450
    • 提供者:黄建军
  1. The-frequency-account

    0下载:
  2. 本频率计采用数据选择器实现对任意周期信号的频率、周期、脉宽、占空比、自检等不同功能输入电路的选择,通过键盘实现了各个功能的选择,最后采用LED动态显示模块将所测的数值显示出来。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:8960
    • 提供者:上官婉儿
  1. and2_gate

    0下载:
  2. 这是用Verilog HDL编好的2选一数据选择器 可以直接使用 没有密码
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:135425
    • 提供者:fuyuanxin
  1. mux1-8

    0下载:
  2. 8选1数据选择器行为建模及测试Verilog代码
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:784
    • 提供者:袁辉
  1. 4x4的数据选择器

    0下载:
  2. 用vhdl的4x4的数据选择器,在maxplusII下编译、仿真通过。是构成大型数字电路的重要部件。适合vhdl初学者分析学习。-4x4 with the VHDL data selectors, under the maxplusII compiler, simulation through. Yes constitute large-scale digital circuits important components. VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:3072
    • 提供者:roya
  1. 数据选择器vhd源代码

    0下载:
  2. 数据选择器,半加器,3-8译码器vhd源代码。是最近学校的实验内容。我要成会员,所以都发上来供大家参考。-data selection, half-adder ,3-8 decoder vhd source code. Recent experimental schools content. I want to become members and therefore has made onto for reference.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:11264
    • 提供者:kljd
  1. eight_choose

    0下载:
  2. 8选1数据选择器-8 election 1 data selector
  3. 所属分类:其他小程序

    • 发布日期:2024-06-30
    • 文件大小:129024
    • 提供者:libo
  1. mux

    0下载:
  2. 多路选择器是一个多输入,单输出的组合逻辑电路,在算法电路的实现中常用来根据地址码来调度数据。-MUX is a multi-input, single-output combinational logic circuit, in the algorithm used in the realization of circuits to address code in accordance with scheduling data.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:119808
    • 提供者:张应辉
  1. verilog

    0下载:
  2. 用Verilog语言描述比较器,数据选择器-Verilog language used to describe comparators, data selector
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:3072
    • 提供者:胡兵
  1. SELCT16_1

    0下载:
  2. 16选1的数据选择器,通过控制端对16位的二进制进行选择-16 selected a data selector, by controlling the end of the 16-bit binary selection
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:247808
    • 提供者:K.W.Hsu
  1. 4

    0下载:
  2. 双四选一数据选择器74LS153,1、写一个程序,用顺序描述语句和并发描述语句(选择信号代入语句或者条件信号代入语句)分别控制74LS153的一个输出端Q。 2、比较一下顺序语句与并行语句各自的优缺点。 输入:逻辑开关。输出:LED灯。 -A double four election data selector 74LS153, 1, write a program, with sequential and concurre
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:2048
    • 提供者:李小勇
  1. mux41

    0下载:
  2. 四选一数据选择器(四个输入选择一个输出)(Four select a data selector)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:300032
    • 提供者:木七渊
  1. mux 8_1

    0下载:
  2. 八选一数据选择器,hdl语言,基于FPGA,MAXⅡ,240T100C5.(Eight, select a data selector, HDL language, based on FPGA, MAX II, 240T100C5)
  3. 所属分类:Windows编程

    • 发布日期:2024-06-30
    • 文件大小:147456
    • 提供者:LilyCc
  1. 03my_mux

    0下载:
  2. 器件EP4CE6F22C8N2选一数据选择器(Choose device EP4CE6F22C8N2 data selector)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-30
    • 文件大小:69632
    • 提供者:qing wang
  1. Verilog源代码

    0下载:
  2. 多种基本功能的Verilog代码实现,包括多路选择器,二进制到BCD码转换,二进制到格雷码转换,7段译码器,8位数据锁存器,移位寄存器等等多种功能。(Verilog code implementation of a variety of basic functions, including multiplexer, binary to BCD code conversion, binary to Gray code conversion
  3. 所属分类:汇编语言

    • 发布日期:2024-06-30
    • 文件大小:18432
    • 提供者:MMK1
« 12 3 4 5 6 7 8 9 10 ... 22 »

源码中国 www.ymcn.org