搜索资源列表

  1. lunwen

    0下载:
  2. 本文论述了在整个无线收发系统中用软件的方法实现信道编译码系统的功能。实现了一种基于FPGA的信道编译码方法,并给出了VHDL语言的实现方法及仿真波形。信道编译码系统包括发射端的信道编码和接收端的信道译码两大部分。信道编码部分包括汉明编码、基带信号调制本次设计采用DPSK调制方式和并串转换连接模块。译码部分包括汉明译码、DPSK解调和链接模块。本系统的实现过程是:先通过软件编程实现各部分的功能模块,然后编程连接各模块,系统编译仿真通过以后
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:416597
    • 提供者:赵冉
  1. verilog实现串并转换模块

    0下载:
  2. verilog实现串并转换模块
  3. 所属分类:源码下载

  1. lunwen

    0下载:
  2. 本文论述了在整个无线收发系统中用软件的方法实现信道编译码系统的功能。实现了一种基于FPGA的信道编译码方法,并给出了VHDL语言的实现方法及仿真波形。信道编译码系统包括发射端的信道编码和接收端的信道译码两大部分。信道编码部分包括汉明编码、基带信号调制本次设计采用DPSK调制方式和并串转换连接模块。译码部分包括汉明译码、DPSK解调和链接模块。本系统的实现过程是:先通过软件编程实现各部分的功能模块,然后编程连接各模块,系统编译仿真通过以后
  3. 所属分类:软件工程

    • 发布日期:2024-09-20
    • 文件大小:416768
    • 提供者:赵冉
  1. serialrxtx

    0下载:
  2. 个人原创,已经测试通过。功能:完成串行数据与RS232格式数据的收发转换,ST16C450+串并双向转换兼收发时序产生功能,优点:省去了传统的ST16C450需要CPU干预的缺点,简化设计, 纯硬件自动转换,缺点:忽略各种异常报警,适用于误码测试时使用(传输错误由误码测试功能模块完成检测)。-Personal originality, have the test. Function: the completion of serial
  3. 所属分类:串口编程

    • 发布日期:2024-09-20
    • 文件大小:26624
    • 提供者:fg0112
  1. parell_to_serial

    0下载:
  2. 该模块主要完成并串转换功能。其中system_clk是输入并行时钟的频率,它是串行时钟serial_clk的八倍。byte_data_en是输入并行数据使能信号,byte_data是输入并行数据。serial_data是转换后的串行数据,bit_data_enable是串行数据有效信号。-The module main is completed and the string conversion functions. System_cl
  3. 所属分类:Windows编程

    • 发布日期:2024-09-20
    • 文件大小:1024
    • 提供者:huangdecheng
  1. chuan2

    0下载:
  2. 用verilog HDL编写的并串转换模块,在ISE软件仿真过,也可综合-Prepared using verilog HDL and string conversion module, in the ISE software simulation, and can also be integrated
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:798720
    • 提供者:李晶
  1. bingchuan2

    0下载:
  2. verilogHDL编写的并串转换模块,在ISE软件中仿真过,可综合,绝对是正确的-prepared and verilogHDL string conversion module, the ISE simulation software that can be integrated, is absolutely correct
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:212992
    • 提供者:李晶
  1. bingchuan

    0下载:
  2. verilogHDL编写的并串转换模块,在ISE软件中仿真过,可综合,绝对是正确的-prepared and verilogHDL string conversion module, the ISE simulation software that can be integrated, is absolutely correct
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:214016
    • 提供者:李晶
  1. gencontrol

    0下载:
  2. 高速任意波形产生器控制模块 控制NCO,FIFO,并串转换-hign-speed wfgenerator control
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:1024
    • 提供者:ted yang
  1. jdcbzh

    0下载:
  2. 使用VHDL语言实现串并转换模块的实现,可在QUARTUS上实现-Use VHDL language string and conversion module, but in QUARTUS
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:1024
    • 提供者:吴丹
  1. p2s

    0下载:
  2. 并串转换模块,内含有另个.vhd文件。一个是自己写的比较简单 另一个是参考的。-And the string conversion module, which contains another one. Vhd file. One is its relatively simple to write the other is the reference.
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:329728
    • 提供者:wukun
  1. serial_input_parallel_output_module

    0下载:
  2. 有一批数据并行输入,位宽为4,输入的时钟频率是20MHz,模块的功能是对这些数据进行并串转换。它每收满6个数据(一个包),就对这6个数据进行处理,将这6个数据按照一定的顺序串行输出,输出的时钟频率是80MHz-serial input parallel output
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:804864
    • 提供者:buffontus
  1. ser_para

    0下载:
  2. 用verilog语言来实现并串转换模块,并行输入八个10位,串行输出一个10位。-achieve and serial converter module verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:2048
    • 提供者:木一
  1. parallel-to-serial-conversion

    0下载:
  2. 该模块实现的是并串转换功能,经过仿真验证没有问题-This module is designed to implement parallel to serial conversion
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:1024
    • 提供者:郭丽龙
  1. ADzhuanhuanmokuaisheji

    0下载:
  2. ad转换模块设计,在模数转换中重要作用,由FPGA控制,分频、串并及并串转换等-ad conversion module design, analog to digital conversion in an important role in
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-09-20
    • 文件大小:197632
    • 提供者:王祥虎
  1. para2serial

    0下载:
  2. 并串转换模块,用于serdes编码器后面的部分,转换后用于LVDS发送。-And string conversion module, part of the back of the encoder for serdes, after conversion to LVDS transmitter.
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:689152
    • 提供者:peter
  1. a-design-of-8b_10bSerDes

    0下载:
  2. 。论文首先给出了8b/10bSerDes的系 统结构,将其分为发送端和接收端两个部分,然后按照功能的不同,对电路进 行了模块划分,并且设计了其中的4个主要模块.8b/10b编码模块、8b/10b解码 模块、10:1并串转换模块和1:10串并转换模块。-A Design of 8b/1 0bSerDes
  3. 所属分类:行业发展研究

    • 发布日期:2024-09-20
    • 文件大小:3177472
    • 提供者:梧桐雨
  1. decoder-SerDes

    0下载:
  2. 介绍了8b/10b SerDes 中数字模块的设计和验证,这些数字模块 包括:8b/10b 编解码器、Comma 检测器和串并/并串转换电路。-This article introduces theories and applications of four types of SerDes architecture, and establishes the design of 8b/10b SerDes interface ci
  3. 所属分类:文档资料

    • 发布日期:2024-09-20
    • 文件大小:1226752
    • 提供者:梧桐雨
  1. CSI2TXReferenceDesign

    1下载:
  2. 适用于MIPI-CSI2的并串转换模块,可将RGB、YUV等格式的图像信号转为兼容MIPI数据通道的串行数据信号(It is suitable for the parallel conversion module of MIPI-CSI2, which converts the image signals in RGB, YUV and other formats into serial data signals compatible
  3. 所属分类:VHDL编程

    • 发布日期:2024-09-20
    • 文件大小:1292288
    • 提供者:renyaozh
  1. BtoC

    0下载:
  2. 文件中有两种方法实现并串转换模块代码的编写,可以在modelsim软件中正确仿真(There are two methods in the file to achieve the serial conversion module code writing, can be correctly simulated in Modelsim software)
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-09-20
    • 文件大小:1024
    • 提供者:臭猴子
« 12 3 »

源码中国 www.ymcn.org